• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(382)
  • 리포트(376)
  • 시험자료(4)
  • 자기소개서(1)
  • 방송통신대(1)

"half adder" 검색결과 61-80 / 382건

  • VHDL-1-가산기,감산기
    그래서 외부에서 캐리를 받는component;beginHALF1 : Half_Adder port map(X, Y, temp1, temp2);HALF2 : Half_Adder port ... Dataflow of Half_Adder isbeginS X=1, Y=0Sum=1, Carry=0이 나왔다.3) 100~150ns -> X=0, Y=1Sum=1, Carry=0이 ... isport( X : in std_logic;Y : in std_logic;S : out std_logic;C : out std_logic);end Half_Adder;architecture
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 정실, 정보통신기초설계실습2 9주차 결과보고서 인하대
    결과로 나오는 자리올림수를 다음연산에 고려하지 않는 adderhalf adder라고 하는데 half adder가 XOR게이트와 AND게이트를 사용한다. ... 그리고 full adderhalf adder두개를 사용한다. ... ARABIC 5 : simulation4비트 adder의 모듈은 full adder를 4번사용한것이므로 모듈파일은 full adder의 모듈과 동일하다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 논리회로실험 A+결과보고서 3 Adder & Subtracter
    실험 과정 및 결과 실험 1) 반가산기(Half Adder) 구성XOR gate(74HC86)과 AND gate(74HC08) 하나씩을 이용하여 반가산기(Half Adder)를 구성하였다 ... 실험 2) 전가산기(Full Adder) 구성실험 1에서 구성한 두 개의 반가산기와 하나의 OR gate(74HC32)로 전가산기를 구성하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.10.09
  • 디지털전자회로 2021 퀴즈5 해답
    필요한 half/full carry save adder와 몇 bit의 carry propagation adder가 필요한 지구하시오. [4]2. ... 또한 (2)의 형태로 precomputation 과정을 보여주면서 변환하시오. [2]2) 아래 partial product 계산을 Wallace tree adder를 통해 구현하고자
    시험자료 | 7페이지 | 2,500원 | 등록일 2022.11.07
  • 서강대학교 디지털논리회로실험 레포트 5주차
    실험 목표:(1) Exclusive-OR 회로를 이용한 비교회로의 구현 및 동작원리 이해(2) 기본 gates를 이용한 half-adder 및 full-adder의 구현 및 동작원리 ... 만약 -2를 구하고 싶으면 2인 0010을 전부 뒤집은 다음에, (1101) 1을 더해주면 된다, 즉 1110이 -2가 되는 것이다.2) 그림 16의 half-adderhalf ... Half-subtractor우선은 Bin이 존재하지 않기 때문에, half-subtractor라고 부를 수 있을 것이다.
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 시립대 전전설2 Velilog 결과리포트 4주차
    이는 full-adder를 만들기 위해 half- adder를 만든 원리와 같다. subtractor는 x, y를 input으로 넣어주고 always문을 사용하기 위해 reg를 설정하였다 ... 이는 full-adder를 만들기 위해 half- adder를 만든 원리와 같다. subtractor는 x, y를 input으로 넣어주고 always문을 사용하기 위해 reg를 설정하였다 ... 감산기를 만들기 위해 half-subtractor를 먼저 만들어주었다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 논리회로실험 A+예비보고서 3 Adder & Subtracter
    -전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로로 설계되어 다양한 기능을 가진다.2) 반가산기(Half Adder)-이진수의 덧셈에서 맨 오른쪽 한자리의 ... 실험 목적-Logic gate를 이용하여 가산기(Adder)와 감산기(Subtracter)를 구성할 수 있다. ... 실험 이론1) 가산기(Adder)-이진수의 덧셈을 하는 논리 회로이며, 디지털 회로, 조합 회로의 하나이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.10.09
  • FPGA [component & generate & generic ]
    [실습 과제 : 1bit full adder 를 component로 이용해서 4bit adder를 설계]먼저 이 코드를 완성시키기 위해서는 or게이트와 half-adder(반가산기) ... 를 먼저 설계해서 1bit 전가산기(fulladder)를 완성 시키고 나서 1bit 전가산기를 이용해서 4bit full-adder를 만들려고 했다.그래서 입력 m & n(4bit)
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 시립대 전자전기컴퓨터 마이크로프로세서 Verilog를 통한 41 mux, ripple carry adder 구현
    이는 익숙해지는 데 어쩔 수 없던 부분이었던 것 같다. 4:1 mux는 구현이 잘 되었는데 full adderhalf adder로밖에 한 것밖에 생각이 안나 구현하는데 어려움을 ... 또한 full adder의 코드와 ripple carry adder 코드를 한 페이지에 적어 시뮬레이션을 돌리니 loading error design이라는 오류가 발생하였다. ... Full adder를 이용한 리플캐리애더구현 코드b.- 하프애더의 로직을 사용하지 않고 오직 풀애더의 로직만을 사용해서 논리회로를 구현해야했다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.12 | 수정일 2021.04.16
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서3
    이와 같이 세 비트의 덧셈을 집행하는 회로를 전가산기(Full adder, FA)라 하고, 캐리를 생각하지 않고 두 비트만을 더하는 회로를 반가산기(half adder, HA)라 한다 ... subtracter, FS)라고 한다.- 반가산기 (Half adder): 2개의 2진수 X, Y 논리변수를 더하여 합(Sum)과 캐리(Carry)를 산출하기 위한 조합 논리회로이다 ... (회로도를 자세히 보면 반가산기가 2개 있는 것을 알 수 있다.)- 반감산기 (Half subtracter): 가산기가 더하는 것이라면 감산기는 말 그대로 빼는 것이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 결과 레포트 Combinational Logic 1, 전자전기컴퓨터설계실험2,
    Half adder는 두 입력 모두 1일 때 캐리가 발생하게 된다. ... 실험 결과(1) One bit 반가산기1) if 문을 사용하는 Behavioral Level modelingBehavioral Level modeling 이용한Half_adderHalf_adder ... )4bit_Full_adder4bit_Full_adder test bench4bit_full_adder simulation2) combo box를 통한 동작 결과입력a=0111 b=
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    Half-adder Half-adder 회로도- 반가산기(Half-adder)는 이진수의 한자리수를 연산하고, 자리올림수 출력(carry out)에 따라 출력한다. ... (Combo-II SE 활용설명서 478p)(5) Half-adder / Full-adder의 구조에 대해 조사하시오.a.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    .-1-bitFullAdderHalf Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit Adder를 Verilog HDL을
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    결론 및 검토사항Full adder, half adder와 and gate의 기능을 이용하여 multiplier를 설계하였다. ... Full adderc1 -> carry input, c -> output에서의 carry실험 시 full adder의 동작을 확인해본 결과 덧셈이 잘 이뤄지는 것을 확인할 수 있었다
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [부산대학교][전기공학과][어드벤처디자인] 9장 4비트 Binary Adder, 2's Complement 4비트 Adder / Substrator 연산회로(9주차 결과보고서) A+
    -반가산기(Half adder) : 가장 간단한 형태의 가산기, 캐리 올림이 없는 특수한 경우에만 사용-전가산기(Full adder) : 하위에서 올라온 자리올림수를 포함하여 계산하는 ... 어드벤처디자인 결과보고서4비트 Binary Adder, 2’s Complement4비트 Adder / Substrator 연산회로학과: 전기공학과학번:이름:실험 목적2의 보수에 대한 ... 것-멀티비트 가산기) 리플 캐리 가산기(Ripple-carry adder) : 전가산기를 여러 개 합쳐 임의의 비트수 연산이 가능하게 구성한 회로, 올림수 판단 떄문에 연산이 느려질
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.25
  • 서울시립대학교 전전설2 1주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    categoryId=11 HYPERLINK \l "본문6" [6] 그림 4(half adder)- Hyperlink "https://woodforest.tistory.com/122" ... 출력해주는 것이다.이를 활용하면 밑의 4-bit adder와 같이 큰 비트의 연산도 가능하다. 1-bit full adder 4-bit full adder HYPERLINK \l ... 반가산기AND와 XOR을 활용해 1비트 가산이 가능한 형태이다.하지만, 이 반가산기 모델은 올림(전가산기의 Cin 부분)의 연산이 불가능해서 대수의 연산이 불가능하다. 1-bit half
    리포트 | 14페이지 | 무료 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Results of Lab 2.Single-bit half Adder 로직 설계- 진리표ABCS0*************10- 실험 결과 (아래 사진은 진리표의 순서와 동일하다.)Results ... compare results, reasons of error)1) 실습 1AND Gate를 통과한 a,b 입력이 LED에 출력되는 것을 확인 할 수 있었다.2) 실습 2Single-bit half ... Adder에서 A, B를 더해서 S와 Carry를 출력하는 것을 볼 수 있었다.3) 실습 3Single-bit Full Adder에서 A, B, Cout의 입력을 받고, 세 수를
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • A+ / 디지털시스템설계 가/감산기 실험보고서
    관련 이론1) 프로그래머블 반 가/감산기(HAS: half adder and subtracter)1. A입력의 반전 유무에 따라 가산기와 감산기로 동작2. ... XOR 게이트의 특성을 이용하여 두 회로를 하나로 합쳐서 반가감산기 회로 구성2) 프로그래머블 전 가감산기 (FAS: full adder and subtracter)3) 병렬 가/감산기1
    리포트 | 8페이지 | 2,000원 | 등록일 2023.08.15
  • 충북대 기초회로실험 반가산기 및 전가산기 예비
    있다.AB덧셈결과000011101110(Carry = 1)이 법칙에서 2개의 2진 digit 가산은 합 digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.(2) 반가산기(Half ... Adder)2진 덧셈을 살펴보면 2-입력(A, B)의 논리회로는 exclusive-OR 게이트와 같은 출력을 나타내고 있다. ... 이때 두 출력을 동시에 나타내는 회로를 반가산기라 하며 논리식은 다음과 같다.S`=A bar{B} `+ bar{A} B`=A```` OPLUS B#C`=AB(3) 전가산기(Full Adder
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    .- 불대수를 사용한다.(3) 종류-반가산기(Half Adder) : 2진수 2개를 더하여 합(Sum)과 캐리(Carry)를 출력하기 위한 회로이다. ... -병렬 가감산기(Parallel Adder-Subtracter) : 여러 자리의 2진수를 더하고 빼기 위한 연산회로이다. ... -전가산기(Full Adder) : 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 것이 가능한 논리회 로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대