• 통큰쿠폰이벤트-통합
  • 통합검색(25,178)
  • 리포트(21,525)
  • 자기소개서(1,242)
  • 시험자료(1,195)
  • 방송통신대(1,083)
  • 논문(79)
  • 서식(28)
  • ppt테마(11)
  • 노하우(10)
  • 이력서(5)

"논리설계" 검색결과 301-320 / 25,178건

  • 논리회로설계실험_비교기
    (a, b)beginif a=b theneq ... 위의 그림은 설계를 수정하여 출력 파형을 확인한 것이다.2)테스트 벤치 코드library ieee;Use IEEE.STD_logic_1164.all;entity one_bit_comparator_tb
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.10
  • 논리회로 아벨설계프로젝트(ABEL)
    과제목표 : ABEL 프로그램 설계 프로젝트1. 설계내용학번과 이름을 하나씩 입력해서 7-segment에 차례로 출력하는 PLD를 ABEL로 설계한다.2. ... 당초 모든 경우의 수(0~9, A~Z)가 출력될 수 있도록 설계하려고 하였지만 모든 경우의 수를 입력하였을 경우 TERM 이 많아져 계속 퓨즈맵 에러가 발생하였습니다.그래서 부울식으로도 ... 경우의 수는 퓨즈맵에러가 발생하여 학번은 다른사람 학번도 출력 가능하지만 영문이니셜까지 그렇게 해버리면 TERM이 많아져 영문이니셜은 제 이니셜인 KRE 에 대해서만 출력가능하도록 설계하였습니다
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.10
  • 논리회로설계실험 프로젝트_digital door rock
    과 목 : 논리회로설계실험과 제 명 : 프로젝트 결과보고서(P_6조)담당교수 : 조준동 교수님학 과 : 전자전기공학과학 년 : 3학년학 번 : 2006312687, 2006312117이 ... 름 : 서 영 진, 김 현 기학 번 : 2007310623, 2007313531이 름 : 정 광 수, 손 계 익제 출 일 : 2011. 6. 2111_1학기_논리회로설계실험 프로젝트 ... 설계 관련 이론가.
    리포트 | 44페이지 | 4,000원 | 등록일 2012.03.20
  • BCD-7세그먼트 디코더 논리회로 설계결과보고서
    설계1 결과보고서 2009069160 김기훈설계결과1. 결과사진abcdefg위에 나타낸 그림처럼 세그먼트 상에 입력을 주어서 숫자를 나타내게 하는 실험이었다. ... 4장에서 공부한 BCD7 segment를 직접 게이트들을 사용하여 설계해 보는 것으로 우리 2조의 경우 AND,OR,NOT게이트 3개를 사용하여 설계를 하였다.우선 결과부터 분석해보면 ... 0101100000010110110100111111001010001100110101101101101101011111011111100001000111111110011111011십진수 0~9까지의 ABCD의 입력으로 출력 LED의 abcdefg가 나오는 진리표를 나타낸 것이다.비고 및 고찰이번 설계
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 디지털논리회로 설계 프로젝트 보고서
    디지털논리회로 설계 프로젝트 보고서프로젝트의 제목 : 디지털 시계 설계설계자(팀원 전체) 성명 :제출일 : 2008년 12월 20일국문요약 : 디지털 논리 회로 시간에 배웠던 논리 ... Each clock's units entered Each unit's Seven Segments.Ⅰ 설계와 관련된 이론적 배경·디지털 논리회로적인 이론들counter의 원리숫자를 새는 ... 이 카운터를 비 동기식으로 연결 하여, 디지털시계를 만들었다.Seven Segment의 원리실제로 논리회로에서 사용되는 Signal은 0과 1의 2진수 이다.
    리포트 | 9페이지 | 4,200원 | 등록일 2011.01.09
  • 인터넷 서점 데이터베이스 설계 (논리적 데이터 모델)
    여러분은 인터넷을 이용하여 기업에서 고객간 거래를 하는 전자 상거래 시스템이 필요하여 데이터베이스 설계, 구축 담당자로 선정되었다. ... 다음 시나리오를 이용하여 데이터베이스를 설계하도록 한다.회원등록 및 주문 ◇ 인터넷에서 도서를 구입하기 위해서는 반드시 우리 회사에 회원으로 등록해야 구매가 가능하다. ◇ 인터넷에
    리포트 | 2페이지 | 3,000원 | 등록일 2012.11.19
  • 디지털논리회로리포트(4진업카운트설계)
    JK FF을 활용한 동기식 4진 업 카운터 설계CKQ(t)Q(t+1)JKQ1Q0Q1Q0J1J0K1K00000101dd101101dd121011d10d31100dd11□ 여 기 표Q1Q00100d11dQ1Q0010dd111Q1Q0010d01d1Q1Q0010111dd
    리포트 | 1페이지 | 1,000원 | 등록일 2012.11.27
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    이 때 각 논리 게이트는 특정한 지연시간을 가지도록 설계한다.- 32-비트 입력에 대한 test vector를 만든다. ... 디지털회로설계프로젝트 #21. 제목- 고속 동작 덧셈기 설계2. ... 설계 내용1) 설계 목표빠른 carry 처리를 통해 고속 연산을 가능하게 하는 Carry Lookahead Adder를 설계한다.
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • 논리회로 설계-Stop watch설계
    Lab 2-2 논리회로설계 - 스톱워치 설계(1) 그림 3-1의 스톱워치부의 각 블록을 논리설계한다.(2) MyLogic을 이용하여 설계한 각 블록의 schematic을 완성한다.· ... 전체 논리회로에 대해 MySim으로 실뮬레이션하여 설계를 검증한다. ... MOD 10그림 MOD 10 회로· MOD 6그림 모드 6 회로(3) 설계한 각 블록별로 시뮬레이션하여 설계를 검증한다.· MOD 10 실뮬레이션그림 MOD 10 실뮬레이션0-9까지
    리포트 | 3페이지 | 2,000원 | 등록일 2008.12.03
  • MyCAD를 이용한 논리회로 설계
    Lab 2-2 논리회로설계 - 스톱워치 설계1) 그림 3-1의 스톱워치부의 각 블록을 논리 설계한다. ... Lab 2-1 MyCAD를 이용한 논리회로 설계1) 그림 1-10은 4bit up/down counter의 회로도이다. ... 전체 논리회로에 대해 MySim으로 시뮬레이션 하여 설계를 검증한다.① 스탑워치의 시작(초기)STRSTP에 한주기 신호를 인가해 줌으로써 스탑워치의 기능이 시작됨을 확인하여 볼 수
    리포트 | 5페이지 | 1,000원 | 등록일 2008.12.03
  • [논리회로실험] 실험3. VHDL을 이용한 가산기설계2
    과 목 : 논리회로설계실험과 제 명 : 실험3. ... 이번 실험에서는 'Ripple Carry Adder'에서 생길 수 있는 문제점을 보완한 'Carry Lookahead Adder'를 설계한다. ... VHDL을 이용한가산기설계2(CLA)담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.04.07.Introduction'Ripple Carry Adder'의
    리포트 | 22페이지 | 2,500원 | 등록일 2014.03.22
  • [논리회로실험] 실험2. VHDL을 이용한 가산기설계1
    과 목 : 논리회로설계실험과 제 명 : 실험2. ... VHDL을 이용한가산기설계1담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.04.02.Introduction조합회로의 특징과 기본적인 VHDL ... 입력에 따라 가산기가 될 수도 감산기가 될 수도 있다.그림 SEQ 그림 \* ARABIC 1 이번 실험에 쓰이는 회로② Describe how you solve주어진 코드를 가지고 설계하는
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.22
  • 02 논리회로설계실험 결과보고서(전,반가산기)
    논리회로설계 실험 결과보고서 #2실험 2. 반가산기와 전가산기 설계1. ... 구조적 모델링 방식은 이미 설계된 두 두 논리 회로를 하나로 합쳐 설계하는 방법이다. ... 고찰VHDL을 이용하여 반가산기와 전가산기를 설계하는 실습을 진행하였다. 이 전까지는 논리회로를 설계하는 방법은 자료구조 모델링과 동작적 모델링 2가지만 존재하는 줄 알았다.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 아주대학교 논리회로 설계 과제 Key Pad 자물쇠
    “0”)비밀번호 오류 여부 (비밀번호 5개가 모두 맞으면 “0”, 한 개라도 틀린다면 “1”)이렇게 입력을 받아서 비밀번호 모두 맞아야만 문이 열리는 Key Pad 자물쇠 코드를 설계한다 ... 문제 분석 & 설계 방향1) 비밀번호를 입력하여 문을 열어야 하므로 비밀번호 저장에 관하여 생각해 보았다. vhdl 책을 구하여 저장기능 리 있는 기억소자 회로에 대하여 조사해 보았으나 ... 연결하려는 생각을 하였다. 4) 위의 방법으로 코드를 짜는 것 보다 더 편한 방법에 대하여 생각해 본 결과 단순히 IF문 1개만을 사용하여 코 드를 작성해도 문제가 없을 것으로 판단하여 설계시작
    리포트 | 4페이지 | 1,500원 | 등록일 2013.11.28
  • [사회복지조사론] 조사설계 - 조사설계의 정의와 중요성, 조사설계의 목적과 특성, 조사설계의 기본논리와 구성요소, 내적타당도, 외적타당도
    조사설계목차1.조사설계의 정의2.조사설계의 중요성3.조사설계 목적과 특성1)특성2)조사설계의 목적4.조사설계의 기본논리와 구성요소1)기본논리2)구성요소3)조사설계의 유형(1)자료수준에 ... 기본논리와 구성요소1)기본논리가장 일반적인 방법으로 연역법과 귀납법이 있는데 이들은 서로 교대로 일어나는 상호보완 적인 관계이다. ... 정의조사목적을 달성하기 위한 논리적 전략으로, 조사를 수행하고 통제하기 위한 계획이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2014.04.13
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 택배관리 시스템 분석 설계(개념적설계, 논리설계, 물리적설계)
    택배관리 시스템의 논리설계- 개체 집합에 대한 릴레이션 스키마 변환 결과- 관계성 집합 적용 규칙- 개체집합에 대한 릴레이션 스키마로의 변환 결과- 제 1 정규형 검사 및 정규화 ... 택배관리 시스템의 논리설계◈ 개체 집합에 대한 릴레이션 스키마 변환 결과릴레이션 스키마주키이대리점(대리점ID, 대리점명, 주소, 전화번호){대리점ID}배송직원(직원ID, 이름, ... D.M.S 택배관리시스템 ER다이어그램 및 설계D.M.S(Delivery Management System)분석설계 산출물P M : 20031376 김강희팀 원 : 20031403 이석희20031407
    리포트 | 14페이지 | 3,000원 | 등록일 2008.11.27 | 수정일 2015.07.01
  • 논리회로설계 프로젝트 디지털 시계2 (7-segment)
    이번 설계과제는 ‘2차 과제에서 7-segment에 출력하기 전단계인 32bit의 출력에 ‘초,분,시,일’을 8bit씩 차지하여 총 32bit로 출력한 데이터’를 실제 7-segment에
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.08
  • 설계실습 8. 논리함수와 게이트 결과
    설계실습 8. 논리함수와 게이트1. 설계실습 내용 및 분석(1) Low(0) 값, High(1) 값, Vcc를 각각 0V, 5V, 5V로 설정한다. ... 수치를 포함하여 요약하라.- 다른 종류의 게이트로 같은 결과를 출력하는 논리회로를 설계해보았고 그 결과 같은 결과가 나타남을 알 수 있었다.(2) 설계사양에 따라 설계실습계획서에서 ... 이번 실험은 논리회로를 구성하여 그 출력을 확인하는 것으로 특별히 영향을 끼치는 외부 요인이 거의 없어 잘 되었다.(4) 무엇을 느꼈는가? 이 설계 실습을 동하여 무엇을 배웠는가?
    리포트 | 3페이지 | 1,500원 | 등록일 2010.11.12
  • 설계실습 8. 논리함수와 게이트 예비
    설계실습 8. 논리함수와 게이트1. 목 적여러 종류의 게이트의 기능을 측정을 통하여 실험적으로 이해한다.2. ... .- AND 게이트와 OR 게이트 각각을 하나씩 연결하여 측정하고 2개 이상씩 연결하여 측정한 값을 확인한다.(3)를 5V (논리값 1)에서 0V (논리값 0)로 단계적으로 변화시켜서 ... 설계실습 계획서(1) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR (Exclusive NOR)의 진리표를 사용하여
    리포트 | 3페이지 | 1,500원 | 등록일 2010.11.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:59 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대