• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(968)
  • 리포트(871)
  • 자기소개서(45)
  • 시험자료(22)
  • 방송통신대(19)
  • 논문(7)
  • 서식(2)
  • 표지/속지(1)
  • ppt테마(1)

"자판기 설계" 검색결과 21-40 / 968건

  • 마이크로프로세서실험최종과제-자판기설계
    System Design 자판기 설계 코인을 삽입하고 메뉴를 선택 하면 원하는 차가 나오는 단순한 구조 2.Hardware configuration 금액창 상태 Display 메뉴선택
    리포트 | 16페이지 | 3,000원 | 등록일 2013.10.14
  • 디지털 회로 설계 프로젝트 vending machine (자판기)
    여기에서 설계자판기에 들어가는 동전의 종류는 100원, 500원 두 종류이고 자판기 안에 있는 음료수의 종류는 400원짜리, 500원, 600원 이렇게 세 종류다. ... 설계 목표그 동안 학습한 comparator, multiplexer, adder, register, d flip-flop, logic gates 등의 기능을 이용해 음료수 자판기의 ... 여기서 reset은 자판기를 사용하는 사용자가 바뀌거나 사용자가 음료수를 뽑는 일을 다 마쳐서 다시 돈을 넣을 상태가 된 것을 뜻한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2013.01.02 | 수정일 2021.01.31
  • 베릴로그 자판기설계, Vendingmachine Verilog (코드,타이밍밴치,ppt)
    설계목표 Vending Machine설계사양 지정 자판기에 투입되는 동전은 500 원 , 1000 원 2 가지로 가능합니다 . 최대 합산할 수 있는 돈은 2000 원입니다 . ... 설계내용 Vending Machine입력 부분 자판기 회로 초기상태 (S0) 선택 ( 1BIT , reset) : X3= 안 누르면 0, 누르면 1. ... 자판기 판매 물품 생수 - 500 원 , 에너지드링크 - 1000 원 , 과일주스 -1500 음료수를 선택하면 선택한 음료수가 나오고 그 가격만큼 차감 되고 , 동전 반환 버튼을 눌렀을
    리포트 | 24페이지 | 1,500원 | 등록일 2014.06.13
  • vlsi 설계 - 자판기
    자판기에서 원하는 음료수를 얻기 위해서 동전투입기에 동전을 넣게 되면 LED 표시장치에 불이 오게 됩니다. ... 목적 및 개요- 설계 목적자동판매기는 일상생활에 무인 판매처로써 중요한 역할 수행자동판매기 제어기를 구성함으로써 실질적인 디지털 시스템의 일상생활에서의 적용 확인자동판매기 사용경험을 ... Title자동판매기 제어기2.
    리포트 | 14페이지 | 2,000원 | 등록일 2009.10.11
  • 베릴로그 자판기 설계 프로젝트
    있는 돈의 100단위 값을 7segment 로 표시a10,b10~~g10현재 자판기에 있는 돈의 1000단위 값을 7segment 로 표시INNER PORTadd1register100을 ... 배출actrefund1100원 짜리 반환actrefund5500원 짜리 반환S_Out14bit 짜리 멀티비트로 7segment 2개와 이어지며, 현재 금액을 표시한다.a1,b1~~g1현재 자판기
    리포트 | 42페이지 | 3,000원 | 등록일 2009.08.09
  • 기초회로실험 설계 자동판매기 자판기 PCB 회로도
    1) 자판기 동작- 버튼1을 누르면 50원씩 증가 150이면 커피에 해당하는 LED 깜박이며 0으로 초기화- 버튼 2를 누르면 100원씩 증가 200이면 커피와 거스름돈에 해당하는
    리포트 | 1페이지 | 2,500원 | 등록일 2013.06.06
  • 자판기 설계(상태도,상태표,카노맵,회로도,VHDL설계)
    //모듈 machine 내부적인 연산설계
    리포트 | 22페이지 | 10,000원 | 등록일 2011.12.13 | 수정일 2019.03.08
  • verilog HDL을 이용한 LED주사위 설계 피피티 (자판기 or 신호등)
    설계 방법 Contents 설계 분담 주제선정동기팀 역 할 분담 설계 분담 Xilinx 구현 및 회로 설계 회로도 구현 및 진리표 작성 Xilinx / 회로 구현 및 PPT 작성 ... 주사위 (DICE) 설계Co n tents 설계 계획 PLD/LED 를 이용한 주사위 구성 회로 구현 및 회로의 동작 검증 Ask Me Anything 질의 응답 설계 결과 팀 역할분담 ... SCHEMATIC설계결과 Verilog HDL설계결과 Verilog HDLXilix SimulationTHANK Y OU{nameOfApplication=Show}
    리포트 | 14페이지 | 1,500원 | 등록일 2013.07.16
  • Verilog HDL 언어를 이용한 음료수 자판기 설계
    ^^by Clock조원 일동..FILE:ppt/slides/slide28.xml7.팀원 역할 분담프로젝트 총괄 및파워포인트 작성자판기 상태 설계 및 도면 작성HDL코드와Simulation을 ... 1500박카스outputreg[4:0] Money; //배출할 돈의 양reg[4:0] state;//현재상태reg[4:0]next_state;//다음상태..FILE:ppt/slides/slide6.xml4.설계내용자판기 ... 저는HDL코드로 구현하는 작업을 담당하였습니다.평소에C언어에 관해서는 자신감이 있고 잘 하는 분야라 비슷한분야인HDL코딩을 맡았습니다.처음 작성시,무에서 유를 창조하는 느낌이였지만도서관의 자판기
    리포트 | 29페이지 | 3,200원 | 등록일 2010.12.29
  • [Flowrian] 커피 자판기 회로의 Verilog 설계 및 시뮬레이션 검증
    설계 및 시뮬레이션 검증- 커피 제조 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 자판기 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 ... 검증- 최상위 자판기 회로 : 구조수준 Verilog 설계 및 시뮬레이션 검증Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다. ... 커피 자판기 회로는 10개의 모듈로 구성된다.- 12 비트 레지스터 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 8 입력 12 비트 멀티플렉서 : RT 수준 Verilog
    리포트 | 56페이지 | 2,000원 | 등록일 2011.09.24
  • verilog HDL을 이용한 LED주사위 설계 보고서 (자판기 or 신호등)
    또한 설계한 주사위 제어기의 회로가 정상적으로 동작하는지 테스트벤치를 이용하여 확인해볼 것이다. ... 또한 간단한 동작원리로 동작하는 주사위 내부의 제어기의 회로를 Verilog HDL 언어를 이용하여 직접 코딩하고, Verilog HDL 언어를 조금 더 숙련되게 사용하고자 한다. ... 과제 최종보고서과제명Verilog HDL을 이용한 주사위 설계팀번호지도교수공동연구원이 름학 번전화번호“Verilog HDL을 이용한 주사위 설계” 과제에 대한 최종보고서를 첨부와 같이
    리포트 | 7페이지 | 1,500원 | 등록일 2013.07.16
  • 창의적공학설계 - 광고기능을 포함한 차세대 자판기
    과 목 : 창의적 공학설계과 제 명 : 개념설계-차세대 자판기1. ... Town Watching에서 나온 트렌드를 바탕으로 차세대 자판기에 대해서 개념설계를 하였습니다. ... 단말기자기식카드 단말기상품 리프트의 방식기계식 엘리베이터 방식컨베이어벨트 방식기계식 엘리베이터+컨베이어벨트 방식상품 배출구의 위치자판기 한가운데자판기 중간 측면자판기 상단 측면보조기억장치HDDFLASH
    리포트 | 30페이지 | 3,000원 | 등록일 2011.09.22
  • verilog HDL을 이용한 사탕자판기설계(tool자일링스, HBE-COMBO2사용), 소스 설명PPT첨부
    verilog hdl을 이용한 사탕자판기 설계로 hbe-combo2를 이용하여 구현이 가능합니다.소스 및 모듈, 시뮬레이션, 상태도, 사양 등 자세한 설명을 한 ppt가 첨부되어 있습니다
    리포트 | 3,000원 | 등록일 2014.12.16
  • [인간공학] 실생활에서 사용되는 인간공학설계의 사례(자판기, 충전기, 청소기, 손톱깎이, 세탁기)
    음료 자판기의 종류2.5.2. 선 자세에서의 높이에 따른 동작 난이도2.5.3. 음료 자판기의 문제점3.고찰3.1. 새로운 자판기설계3.2. 기존 모델과의 차이점4. ... 휴대폰 충전기 규격 개선안2.4. 손톱깎이2.4.1. 손톱깎이의 원리2.4.2. 손톱깎이의 문제점2.4.3. 손톱깎이의 개선안2.5. 음료 자판기2.5.1. ... 그러나 사용자 중심 설계는 사용자 중심 설계는 제품을 설계한다거나, 설계과정에 어떤 통제를 한다는 것을 의미하는 드선은 너무 길지 않은 것을 옮겨 꽂아가면서 하는 것이 편리하다.
    리포트 | 17페이지 | 1,500원 | 등록일 2012.01.05
  • VHDL을 이용한 자동판매기 자판기 설계 (C언어 사용)
    Vending machine동작설명Coffee, Latte, Cocoa, Mocha를 판매하는 자판기 설계 50원, 100원 짜리 코인 입력 - C 와 D 키로 입력 4가지 제품 선택
    리포트 | 14페이지 | 5,000원 | 등록일 2010.05.17
  • [디지털시스템][회로설계]자판기, vending mechine 회로설계 및 검증
    ■ 과제 목표Vending Machine 기능을 갖는 회로를 설계하고 이를 검증.■ Vending Machine의 기본 기능커피를 파는 자판기설계한다. ... 자판기는 잔돈 반납 가능하다. ... IN_1은 자판기에 넣는 동전.5. IN_2은 반환 버튼.6. VALUE은 반환 동전.7. OUT은 커피.8. Moore 방식.
    리포트 | 2페이지 | 13,000원 | 등록일 2010.01.13
  • [디지털시스템][회로설계]자판기, vending mechine 회로설계 및 검증
    ■ 과제 목표Vending Machine 기능을 갖는 회로를 설계하고 이를 검증.■ Vending Machine의 기본 기능커피를 파는 자판기설계한다. ... 자판기는 잔돈 반납 가능하다. ... IN_1은 자판기에 넣는 동전.5. IN_2은 반환 버튼.6. VALUE은 반환 동전.7. OUT은 커피.8. Moore 방식.
    리포트 | 6페이지 | 13,000원 | 등록일 2010.01.13
  • 디지털 논리 게이트를 이용한 자판기 설계 제안서
    설계 개요1) 목적수업 시간에 배운 논리 게이트들을 이용하여 간단한 논리 회로를 구현해 보고 그에 따른 논리게이트들의 역할들과 자판기의 원리에 대하여 알아보기로 한다.2) 기능설계하고자 ... 하는 자판기 회로는 사용가능한 동전은 50원 100원 이 두가지라고 가정한다. ... 프로젝트 주제디지털 논리게이트를 이용하여 자동판매기 설계하기2.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.04.07
  • 논리회로) 자판기를 제어하는 조합 논리회로설계 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 자판기를 제어하는 조합 논리회로설계2. 실험 목적 : 조합 논리회로를 이용해서 음료수 자판기를 직접 설계한다.3. ... 실험 내용 600원 짜리와 700원 짜리 음료수를 판매하는 자판기이다. 이 자판기는 100원 짜리 동전 7개와 500원 짜리 동전 1개를 각각 입력 받을 수 있다.
    리포트 | 1페이지 | 1,500원 | 등록일 2013.06.09
  • [VHDL] VHDL 자판기 설계
    본문은 없고 자판기 설계한 소스 입니다.기능은 10원 50원 100원 500원 입력 그리고 150원 200원 차 판매 반환버튼 으로 인한 각 동전별 순차적 반환 반환시 동전이 반환 ... 되는 것을 세그먼트와 led로 표현차 판매시 해당 차에 led표시 가 주 기능이며구조상 최대 4단계로 설계 되어 있습니다.클럭은 1MHz를 주며 직접 제작하여 완전 동작하여 A+을받은 ... 구성은 리포트 파일 작성후에 7세그먼트 4개, 버튼 10개, led 8개 연결이며 세그먼트는 공통 단자가 Vcc이고 버튼은 Vcc입력으로 구상 하고 led는 Vcc출력을 기준으로 설계하면
    리포트 | 50페이지 | 10,000원 | 등록일 2003.06.28
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 18일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:23 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기