• 통큰쿠폰이벤트-통합
  • 통합검색(120)
  • 리포트(118)
  • 자기소개서(2)

"VHDL quartus" 검색결과 21-40 / 120건

  • 시립대 전전설2 A+ 2주차 예비레포트
    동작을 기술(표현)할 수 있는 언어- 하드웨어 소자, 구조, 동작 등을 텍스트 기반으로 표현 가능- 입출력 논리 간의 관계 및 동작을 기술- 시뮬레이션 및 검증대표적인 HDL- VHDL ... Kintex 등-> HDL 개발용 Tool: Vivado- Intel 사-> 제품 Family: Cyclone, MAX, Arria, Stratix 등-> HDL 개발용 Tool: Quartus3
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 롯데케미칼 합격자소서 (전기직)
    “서로의 장단점을 보완하여 최고의 성과를 이루다”2인 1조로 Quartus 프로그램과 DE2 board를 이용하여 카운터와 계산기를 설계하는 프로젝트였습니다. ... 보고서 작성, 발표를 제가 맡아 동료가 코딩에 집중할 수 있는 환경을 만들었으며, 과거 들었던 디지털 시스템 수업을 청강하여 디지털 논리 회로와 VHDL 코드에 대한 기반을 다지기
    자기소개서 | 3페이지 | 4,000원 | 등록일 2024.03.24
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2]2) verilig의 요소의미모듈 : 보통의 프로그래밍 언어에서 ... Xilinx :ISE (Integrated Synthesis Environment)는 Xilinx에서 HDL 설계의 합성 및 분석을 위해 제작 한 소프트웨어 도구이다.4) Altera : Quartus
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    case구문으로 횡단보도 제어 시스템 설계하기(전체 105초 동작 반복)사람 통행 30초 -> 점멸 10초 -> 차량 통행 60초 -> 황색등 5초case 문을 사용한 횡단보도 제어기의 VHDL
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    Altera : Quartus II는 Altera에서 제작 한 programmable logic device design 소프트웨어이다.4. ... 현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2]2.verilig의 요소의미모듈 : 보통의 프로그래밍 언어에서 사용되는
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목Sequential Circuit 설계 및 구현실험목표1. 동기식 UP/DOWN 카운터를 설계한다.2. 카운터를 이용한 Sequential Circuit을 설계..
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • [SSL design] 회로 디자인 및 구현, 시뮬레이션 / 010 탐지(sequence detect) 프로그램 디자인 및 구현
    네번째 실습의 첫번째 문제는 주어진 회로 분석을 통해 등식을 도출하여 state diagram 을 완성하고, 본인이 임의로 설정한 input x의 sequence에 맞게 timing diagram을 완성하는 것이다. 그 후, 이것을 쿼터스에서 block diagram으..
    리포트 | 23페이지 | 2,500원 | 등록일 2020.10.08 | 수정일 2020.11.23
  • VHDL을 TFT LCD와 함께 이용한 스도쿠게임 만들기
    FPGA Quartus8.1 버전을 이용한 DE2 보드 VHDL 파일입니다. ... (Quartus의 상위버전과 호환가능)기본적인 구성은 아래와 같고 제 PPT자료와 시연 영상, 프로그램 파일을 같이 올려드립니다 실행방법은 DSD압축풀기->DSD폴더 들어가기->LCM_test.qpf
    리포트 | 4,000원 | 등록일 2016.04.13 | 수정일 2016.04.15
  • 전자 오르간 발표자료 ppt
    프로젝트QUARTUS 2와 FPGA kit를 이용하여 자유연주와 저장이 가능한 전자 오르간을 구현.주요기능1. 자유 연주 기능 2. ... 출력RST=1이 되면 변수를 초기화Block diagrampianopianoVHDL CODE(piano)--counter를 이용하여 원하는 주파수(440hz)를 갖는 구형파 출력VHDL ... diagramRAMVHDL CODE(ram)--ARRAY설정--save가 1이 되면 Clk의 raising edge에 따라 ADDRIN이 count -- 각각의 ADDRIN 주소에 DATA_in을 저장VHDL
    리포트 | 13페이지 | 1,000원 | 등록일 2017.10.03
  • 디시설 - 기본적인 디지털 논리회로 설계
    ISE 또는 Quartus에서 새로운 프로젝트를 생성하고 Block Diagram/Schematic을 이용해[그림 3-14]의 회로를 설계하라. ... VHDL로 코드를 짜서 설계하는 것이 더 흥미로웠다. ... 이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다.3.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • VHDL 프로젝트 두더지게임기 구현
    두더지 게임 VHDL 코드 입니다. 사용한 프로그램은 Quartus2입니다.
    리포트 | 9,000원 | 등록일 2016.11.03 | 수정일 2017.11.15
  • VHDL 8층 엘리베이터 구현 코드 및 보고서, 발표자료
    개발에 이용 된 Tool 들은 다음과 같다Modelsim 6.1b(VHDL 코드 작성 및 Function적 동작 검증에 이용)Quartus 4.0(Kit에 HDL 코드를 이식하기 ... Elevator Controller를 VHDL 코드로 작성, 그 동작을 검증 한 후 FPGA Kit 로 옮겨 Controller의 Function적 동작을 보여주는 것이 목적이다.
    리포트 | 5,000원 | 등록일 2012.12.06
  • VHDL로 구현한 디지털시계 (EP1C6Q240C8)
    리포트 | 2,000원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • FPGA 기반 DSP - User Component 생성 및 구동 방법
    User Component 생성7-Segment User Component를 VHDL 로 생성시킴Qsys Tool을 이용하여 VHDL Component 로 변환- 생성된 User Component ... DE2-115 HW 와의 연결Quartus II 프로그램으로 생성된 sopcinfo를 DE2-115 HW와 Interface를 정의한다.Compile 하여 HW 파트를 생성한다.-
    리포트 | 3페이지 | 1,500원 | 등록일 2015.05.14
  • HBE-COMBOⅡ 를 이용한 주유기기 구현
    과제 범위- Altera Quartus Ⅱ를 사용한 VHDL 디지털 논리회로 설계4. ... 과제 진척 상세 내용① 목표- VHDL 언어 와 HBE-COMBOⅡ KIT를 이용하여, 주유기기를 구현함으로서 VHDL 언어 와 KIT의 기본원리를 파악, 그 능력을 향상 시키고자 ... 과제 개요- VHDL 언어를 이용한 QuartusⅡ 코딩과 HBE-COMBOⅡ KIT로, 주유소 주유기기 구현2.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.11.28
  • VHDL을 이용한 신호등 설계 프로젝트
    Quartus에서 표현한 회로 구성도7. VHDL을 이용한 코딩 & 분석-코딩분석은 의 Process를 바탕으로 설명하겠습니다.Process 1. ... 실험의 목표VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.① 교차로에서 차량신호등과,
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • 디지털논리회로 설계 프로젝트 보고서
    이때 Component문이 각각의 기능을 하도록 제작된 VHDL File전체를 다른 VHDL File에서 사용 할 수 있도록 해주는 기능을 한다. ... 디지털 시계 설계설계자(팀원 전체) 성명 :제출일 : 2008년 12월 20일국문요약 : 디지털 논리 회로 시간에 배웠던 논리 회로와 조합 회로에 대한 지식을 바탕으로 디지털시계를 Quartus ... 시계 각 자리의 출력은 총 6개의 Seven Segment로 알맞게 들어간다.영문요약 : We made "Digital Clock" with Quartus Ⅱ and Digital
    리포트 | 9페이지 | 4,200원 | 등록일 2011.01.09
  • VHDL을 이용하여 자동차 구현하기
    VHDL을 이용하여 자동차 계기판을 구현했습니다실제 자동차처럼 연료, 속도, 안전벨트 착용 유무, 시동 확인, 스마트키 出入 등을 표현해주는 것을 LED, PIEZO, 7-Segment
    리포트 | 22페이지 | 4,000원 | 등록일 2012.05.15
  • Verilog HDL 문법 자료 (A+받은 자료 입니다)
    ECP에 있는 COMBO2-kit의 경우 cyclone2를 선택한 후 디바이스에서 EP2C35F672C8을 선택한다.QUARTUS 사용법VHDL이라고 밑줄 친 부분을 눌러 verilog로 ... Verilog HDLHDL : Hardware Description Language VHDL: VHSIC HDL VHSIC: Very High Speed IC IC : intergrated ... 준다.QUARTUS 사용법코드를 작성 한다.
    리포트 | 34페이지 | 3,000원 | 등록일 2015.06.22 | 수정일 2015.06.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대