• 통큰쿠폰이벤트-통합
  • 통합검색(772)
  • 리포트(744)
  • 시험자료(18)
  • 자기소개서(6)
  • 방송통신대(4)

"4bit adder" 검색결과 381-400 / 772건

  • 4비트 가감산기 설계 보고서
    < 설계 > 4-bit Adder/Subtractor Unit▶ 문제 정의를 위한 명세 및 설계 범위4비트 가감산기를 만들기 위해 4개의 fulladder에 각 각 4개의 A, B ... 이번에서는 4비트 가/감산기를 설계했지만, 다음에 설계를 하고자 한다면 4비트보다 많게, 혹은 가/감산만이 아니라 곱셈/나눗셈까지 추가된 회로를 설계할 수 있을 것이다. ... fulladder를 이용하여 4비트 가/감산기를 설계해보았다. 이 프로젝트를 함으로써 제어신호에 따른 가/감산 출력 값을 시뮬레이션을 통해 확인하고 학습할 수 있었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.05.19
  • 실험3예비 Adder&Subtracter
    컴퓨터는 전가산기를 반가산기라고 하는 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.반감산기입력출력ABDB00000111101011003) Half ... 컴퓨터는 2개의 반가산기를 전가산기와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.전가산기입력출력ABCiSCo00000001100101001101100101010111001111112 ... [실험3] Adder & Subtracter1.
    리포트 | 4페이지 | 2,000원 | 등록일 2014.05.13
  • [컴퓨터공학기초설계및실험1 예비레포트] 반가산기.전가산기.반감산기.전감산기
    전가산기는 반가산기 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다. 진리표를 보는 방법 또한 반가산기와 마찬가지다. ... 반가산기는 자리 올림 비트(C)를 출력할 수는 있지만 앞의 덧셈으로부터 자리 올림 비트를 받을 수 없다. ... 전감산기 회로도도 마찬가지로 반감산기가 2개 있다.참고문헌전가산기(Full adder)/http://blog.naver.com/asd7979?
    리포트 | 6페이지 | 1,000원 | 등록일 2015.03.16
  • 고속 Adder 설계/ 베릴로그
    설계한다. : FA12. 4비트 Ripple Carry Adder를 설계한다. ... RCA4에 대한 Timing Analyzer를 실행하여 LE 사용개수와 최대지연시간을 기록한다.4. 4개의 RCA4를 사용하여 16비트 Ripple Carry Adder를 설계한다. ... C4를 생성하기 위한 Carry Lookahead Generator를 설계한다. : CLG7. CLG를 사용하여 4비트 Lookahead Adder를 설계한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.12.05
  • Combinational Logic Design ⅠArithmetic Logic and Comparator
    (Carry out:Co)을 출력시키는 논리 회로반가산기의 입력에 자리 올림 입력 비트를 추가시킨 회로Truth table4비트 가산기 : 멀티 비트 가산기(Multi-Bit Adder ... 전가산기SourceText FixtureSimulationInlab 3. 4-bit 가산기SourceText FixtureSimulationReference (참고문헌)4강 참고자료 ... bit 감산기를 설계하시오.입력 X : BUS 스위치 1, 2, 3, 4입력 Y : BUS 스위치 5, 6, 7, 8출력 B : LED1출력 D : LED 3, 4, 5, 6Materials
    리포트 | 17페이지 | 1,000원 | 등록일 2016.04.06
  • 아주대 논회실 논리회로실험 실험3 예비보고서
    실험 이론1.가산기(adder)이번에 실험할 가산기에는 전가산기(full adder: FA)와 반가산기(half adder: HA)가 있는데, 간단하게 설명하자면 세 비트의 덧셈을 ... 수행하는 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder: HA)라 한다. ... IC 7486, IC 7408, IC 7404를 이용하여 반감산기를 구성하고 동작을 확인한다.4.
    리포트 | 6페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#4)
    Full Adder의 설계였다. ... 공부한 대로 자유롭게 기재하시기 바랍니다.⑧ 실습을 통해 새롭게 알게 된 점, 설계시 문제점, 해결하지 못한 error에 관한 사항, 기타 건의사항 등등...마지막 설계 과제는 1bit ... 과제는 첫 번째 인버터 설계부터 시작하여 이전의 과제였던 MUX 설계 그리고 이번 한 학기 동안 수업시간에 배운 전반적인 내용을 전체적으로 아울러 돌아볼 수 있게 해 주었다. 1 bit
    리포트 | 11페이지 | 1,000원 | 등록일 2014.05.03 | 수정일 2014.05.05
  • Carry Save Adder
    그림7 의 예에서, bit 1에 남아있는 두 개의 점에 HA를 적용함으로써, 마지막 CPA의 비트 수를 7bit에서 6bit로 줄였다.그림 7개의 오퍼랜드 덧셈그림 4bit 곱셈 연산기의 ... . 4-radix booth's recoding에서 Recoding logic은 기본적으로 3 bit의 출력을 받아. 3 bit의 출력을 보낸다. ... 그림2 는 Ripple-carry adder과 Carry- save-adder의 관계를 보여준다.그림 점표기에서의 CPA와 CSA그림 점표기에서의 HA와 FA그림4 은 점 표기로 그림2
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.17
  • 반가산기(Half Adder)와 전가산기(Full Adder)의 설계
    다음 주에 배울 4비트 가산기를 대비하여 기본적인 전가산기를 배우고 실습하였는데 4비트 가산기는 논리회로 시간에 배운 것으로 전가산기 4개를 붙여서 만드는 것인데 이번 주에 실습한 ... 4주차 과제반가산기 (Half-Adder)와 전가산기 (Full-Adder) 설계1. ... 아래에 반가산기의 진리표와 구조가 나와 있다. c는 2개의 입력이 1일때만 1이고 출력 s는 합의 유효 비트(significant bit)를 나타낸다.xysc*************
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • 실험2 제02주 Lab01 Post Logic Circuit(XOR,OR,AND,FA,HA)
    그러므로 1bit 덧셈기 Half adder를 통해 만든 Full adder를 여러 개 사용하면 2 bit 이상의 덧셈기를 제작할 수 있음을 알 수 있었다.4. ... 마지막으로 Lab 4의 실험결과를 종합하여 확인한 결과, Full adder 역시 Half adder와 마찬가지로 SUM bit과 Carrybit이 작동하는 것을 확인 할 수 있었다 ... of Lab 4 (Full adder)InputRED LED(CarryOut)Yellow LED(SUM bit)SW1-On / SW2-On / SW3-OnA-Logic ‘0’ /
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • [통신시스템실습][삼보출판사][저자:임승각,정명덕,정영화] 디지털 변복조기2
    신호는 다음과 같이 쓸 수 있다.FSK 변조 신호 = (디지털 신호 = 0)= (디지털 신호 = 1)그림에서 알 수 있듯이 FSK 변조기의 구성은 기본적으로 2개의 ASK가지와 Adder ... 부품사용 기기: 2채널 오실로스코프파형 발생기(Waveform Generator)전원 공급기(15V 발생 가능)브레드 보드사용 부품품목정격소요량IC칩XR 2206UA 7411개1개저항4.7KΩ10KΩ7.15KΩ200Ω100KΩ39KΩ68KΩ3개2개1개1개1개1개1개가변저항10KΩ2개콘덴서0.1F470F2개1개4 ... 시간동안 재생하며 반대로 윗 가지 신호의 면적이 아래 가지 신호의 면적보다 큰 겨우 1비트 시간동안 Low 신호를 1비트 시간동안 재생하므로서 FSK 복조를 수행하게 된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2016.11.19
  • 전전컴설계실험2-5주차결과
    )에서는 고려되지 않았던 하위의 가산 결과로부터 올림수를 처리할 수 있도록 한 회로이며, 일반적으로는 가산기 두 가지와 올림수용의 회로로 구성되어 있다.(1bit Full Adder ... -실험과정1) 1-bit Full Adder의 Logic도를 이해한다.2) Gate Primitive Modeling 방법으로 설계하기 위해 다음과 같은 code를 작성한다.module ... Logic Diagram)(1bit Full Adder 진리표)ABZ(C in)SCout0*************00110110010101011100111111-Verilog Modeling1
    리포트 | 23페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전자계산기구조 핵심요점정리(직접작성한내용)
    순서논리회로:조합논리회로+플립플롭(기억기능)1) 플립플롭(래치):1비트를 저장할 수 있는 기억소자 예)4bit레지스터 = 플립플롭4개필요2) 피드백을 가짐3) 클록 펄스를 가짐4) ... 반가산기 = half adder설계방법1)진리표 작성XYSC*************1012)카르노맵 작성3)간략화된 논리식 도출S= X'·Y+X·Y' =X xor YC=X·Y4)논리회로 ... 다음 중 구할 수 없는 문제를 찾고 구할 수 있는 문제는 답을 구하여라.1) 크기가 128KB이고 MBR의 크기가 16bit 인 ROM의 주소선의 개수는?
    리포트 | 7페이지 | 1,000원 | 등록일 2016.11.30
  • 실험2 제06주 Lab04 Post Comparator
    Add 연산대신 Sub 연산을 수행하는 회로이다.4-bit Full adder와 비슷한 Logic gate circuit 형태를 띄지만, 각 bit가 입력될 때, Inverter를 ... -bit Subtracter1-bit Full adder와 비슷한 Logic gate circuit 형태를 띄지만, Inverter 2개를 이용하여 AND gate와 연결시켜줌으로써 ... and description of Lab 4(4-bit Comparator)3.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • VLSI 설계 및 프로젝트 실습 (인하대학교 전자공학과) Ripple Carry Adder,CLA Adder Simulation 결과 보고서
    Ripple Carry Adder의 설계방법Ripple Carry Adder는 여러 개의 Full Adder를 이용하여 임의의 비트 수를 더하는 기능을 하는 논리회로이다. ... 이 때문에 비트 수가 커질수록 연산이 느려지는 단점이 있다.Ripple Carry Adder는 아래와 같은 회로로 나타낼 수 있다.이전 가산기의 Carry출력이 다음 가산기 99ns ... 4u l=2u+ ad=0p pd=0u as=20p ps=18uM1146 GND A3 a_188_n370# Gnd nch w=4u l=2u+ ad=0p pd=0u as=20p ps=18uM1147
    리포트 | 22페이지 | 2,000원 | 등록일 2015.09.30 | 수정일 2015.11.11
  • verilog code - (combo kit) 4bit 2진 덧셈기를 led로 출력
    , 그리고 tb_kit_4adder_led 테스트벤치를 수정하였다. ... key matrix module을 지운 kit_4adder_led(combo1 kit에 맞춘 code)▶ 수정된 keyin 모듈 코드 (원래의 코드는 주석으로 처리)▶ 수정된 kit ... _4adder_led 모듈 코드※ 코드를 수정하는 이유이 전에 코딩했던 모듈들은 keypad의 입력을 scan하여 keypad 값을 알아내야 하는 comboⅡ에 맞도록 설계하였다.입력
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 전전컴설계실험2-5주차예비
    회로로 구성되어 있다.(1bit Full Adder Logic Diagram)(1bit Full Adder 진리표)ABZ(C in)SCout0*************00110110010101011100111111 ... -실험과정1) 1-bit Full Adder의 Logic도를 이해한다.2) Gate Primitive Modeling 방법으로 설계하기 위해 다음과 같은 code를 작성한다.module ... 이때 input, output 선언과 wire사용에 실수 하지 않는다.2.Materials & Methods(1)Procedure of Lab-Lab 11-bit Full Adder를Gate
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 아주대 논리회로실험 실험결과3 가산기와 감산기(Adder & Subtractor)
    비트 씩 계산된 반가산기와는 달리 한 비 트 더 계산을 할 수 있었다.실험 5 : 2-bit parallel adder와 2-bit serial adder를 구성한 뒤 각각의 입력에 ... 전감산기는 반감산기와는 다르게 3비트의 입력과 2비트의 출력을 갖는다. ... 계산할 수 있는 3-Input adder 회로를 구성할 수 있었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 가산기와 감산기
    이론 내용(1) 반가산기 (half adder)2진수로 표시된 2개의 수를 합해서 얻어진 가산기를 반가산기라 합니다. ... 그렇게 되면 시뮬레이션결과와 같은 출력 값이 나옵니다.(5)번 그림은 2bit 병렬 2진 가산기회로와 이 회로의 시뮬레이션 결과를 나타내는 그림입니다. ... 만족시키는 회로가 그림 1-1의 반가산기 회로이며, 그림 1-2는 이 반가산기의 기호를 나타내고 있습니다.그림 1-1 반가산기 회로그림 1-2 반가산기 기호(2) 전가산기 (Full adder
    리포트 | 14페이지 | 1,500원 | 등록일 2016.11.10
  • #9 디지털실험 예비
    KEY[1]은 클럭으로 되고, 클럭이 2번 움직여야 연산된 값을 얻을 수 있다.part34bit full Adder의 조합을 이용하여 4비트끼리의 곱 을 설계[function Simulation ... (금)Part1Lab5에서 설계한 4비트 full adder를 이용하여 8비트 full adder로 설계[function simulation]FF을 이용하여 파이프라인을 설계하여 입력과 ... ]HEX4 = A, HEX6 = B를 나타내고 둘의 곱은 총 7비트로 나타낼 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대