• 통큰쿠폰이벤트-통합
  • 통합검색(708)
  • 리포트(683)
  • 시험자료(16)
  • 자기소개서(5)
  • 방송통신대(4)

"4bit-adder" 검색결과 381-400 / 708건

  • 논리회로설계실험 ALU & multiplier (결과보고서)
    설계할 곱셈기가 수행할 수 있는 범위는 4bit까지이고 결과로 나올 비트수는 8bit가 된다. ... 곱셈연산을 해줄 4자리수 2진수를 위하여 4bit의 입력값 x, y을 선언한 후 곱셈의 결과값으로 출력해 줄 bit수인 p를 8bit의 크기로 선언을 한다. ... 그리고 multiplier의 경우에는 이전에 설계하였던 adder를 활용하여 곱셈기를 설계하는 것이었는데 한자리수 2복잡할 뿐 배웠던 것을 활용하여 충분히 설계가 가능하다.4.
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • [3주차] Adder_Subtractor
    연산이 가능해진다.4. 4bit full adder? ... 4비트 입출력 감가산기의 작동을 확인하고 문제점을 찾은 후 이를 보안할 방법을 찾아본다.2. Background1) Binary code? ... 옆의 truth table에서 볼 수 있듯이 A와 B의 합이4bit의 범위를 벗어나는 경우에도 carry_out을이용하여 연산이 가능함을 볼 수 있다.5. 4bit Adder and
    리포트 | 16페이지 | 2,000원 | 등록일 2012.06.30
  • 전가산기 반가산기 어셈블리어
    Full Adder ( 전가산기 )전가산기는 (full adder) 2진 숫자(비트)를 덧셈하기 위한 논리 회로의 하나. 온 덧셈기라고도 한다. ... 반가산기 (half adder)2진 숫자(비트)를 덧셈하기 위해 사용되는 논리 회로의 일종으로 반 덧셈기는 2개의 디지털 입력(비트)를 받고, 2개의 디지털 출력(비트)를 생성한다. ... 올림 비트를 덧셈하는 것은 온 덧셈기의 기능이다., 따라서 2개의 반덧셈기를 온 덧셈기와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.1) 진리표2) 회로도3)
    리포트 | 7페이지 | 1,000원 | 등록일 2013.05.24
  • 4비트 전감가산기 설계결과보고서
    (A3A2A1A0+B3B2B1B0→C4S3S2S1S0)※ 1Bit 전가산기(FA)3) 4비트 전감산기- 일반적으로 뺄셈은 보수의 덧셈으로 변환하여 수행할 수 있다. ... bit adder_subtractor)의 설계를 하는 것이었다. 1학기때 디지털 공학시간에 해서인지 기억이 가물가물해서 다시 책을 찾아 공부하니 1학기때보다는 가감산기에 대한 내용이 ... 간단한 이론 분석1) 4비트 전가산기 설계- 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 최신 ASML 합격 자소서+전화영어인터뷰+자세한 면접후기
    항상 최고의 기술에 대한 열망을 가지고 차근차근 모든 과정을 밟아 나가겠습니다.4. ... 입출력 비트수가 맞지 않았고 선언부가 달랐고 결정적으로 진행상황을 봐가며 협력하지 않다 보니 서로의 코드를 이해하는데 너무 많은 시간이 걸렸습니다. ... LabEngineering design course: FDCT design of JPEG algorithmVerilog HDLUsing M.T Sun algorithmDesigning adder
    자기소개서 | 12페이지 | 3,000원 | 등록일 2016.11.20 | 수정일 2018.02.21
  • 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 예비보고서
    Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥10< 초록 (Abstract) >이번 실험은 Verilog HDL으로 1bit full adder를 구동시키는 실험이다.Test ... (가) BEHAVIORAL MODELING실험(나) “Lab 1”을 위한 실험 순서 및 구현 방법1. behavioral modeling을 사용하여 1BIT FULL ADDER 회로를 ... ADDER 회로를 만든 후 저장한다.2.
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • [논리회로실험] 실험4. ALU&Booth
    )와 응용된 가/감산기(Carry Lookahead Adder)를 설계해보았다. ... 'Booth 알고리즘'을 잘 보여주는 예가 아래 그림이다.그림 SEQ 그림 \* ARABIC 2 Booth 알고리즘의 예위의 예는 4bit끼리의 연산이지만 우리가 실습에서 사용하는 ... B000110A XOR B000111NOT A100000A101000Shift left A110000Shift right A1110000위의 표를 보면 알 수 있듯이 state가 12개라면 4bit만으로
    리포트 | 31페이지 | 2,500원 | 등록일 2014.03.22
  • 서강대학교 디지털논리회로실험 텀프로젝트
    마지막으로 cout은 추후 설명할 5bit adder의 carryout인데, 이 carryout이 1인 경우에도 총액 mo[4..0]가 31, 즉 3100원보다 커지는 것이므로 추가적인 ... 위의 comparator에서는 상위 2bit mo[4..3]이 11과 같은 경우에만 하위 3bit를 비교하도록 제한해주고 있음을 알 수 있는데, 이는 mo[4..3]이 11보다 작으면 ... 자세히 살펴보면 4bit comparator 2개를 써주고 있는데, 위의 comparator는 총 액수의 상위 2bit인 mo[4..3], 그리고 아래의 comparator는 총 액수의
    리포트 | 36페이지 | 3,500원 | 등록일 2014.01.02
  • 실험3 예비보고서
    또한 이 두 방법을 이용하여 4-bit serial adder와 4-bit parallel adder를 각각 구성하시오.① serial 가산기더하는 수와 더해지는 수의 비트 쌍들이 ... 그러므로 n비트 2진수의 덧셈을 하는 2진 병렬 가산기는 처음에는 1개의 반가산기와 n-1개의 전가산기가 필요하게 하게 된다. 구성도를 그림으로 표현해 보면 다음과 같다. ... Adder & Subtractor1.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.01
  • digitalAdder
    of the digital adder circuit.To get a feel of the adder operation by using the 74LS83 4-bit full adder ... predicted.Result: The 74LS83 was able to successfully perform4-bit binary addition with a carry-in and ... lab procedure.Experimental Procedure4-Bit Addition with 74LS83Problems: NoneThe results wereexactlyas
    리포트 | 4페이지 | 1,000원 | 등록일 2012.02.11
  • 디지털시스템 설계 MealyMachine 및 ALU Verilog 구현 및 테스트벤치
    of 4-Bits ALU, which operates logical AND, OR,XOR and 2’s complement adder/subtractor with overflow ... 리포트과목 :학과 :학번 :Prob. 1) Verilog coding and simulation for 4-bits ALU(a) Draw a detailed circuit diagram ... (b) Write Verilog code, compile, simulate and produce a timing diagram (waveform) forthe 4-bits ALU.
    리포트 | 9페이지 | 2,000원 | 등록일 2012.11.14
  • XOR를 활용한 4bit_가감산기
    - 4bit Adder 설계1. source_half adder2. source_Full adder3. 4bit 가감산기4. ... 설계 해석지금까지 배운 half_adder와 Full_adder를 이용하여 4bit 가감산기를 만들었습니다.가감산기에 Enable단자와 입력A를 XOR를 이용하여 넣어줌으로써,Enable단자의 ... Report< Enable 단자를 이용한 4bit 가감산기 >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 10월 20일학 번 : 200711061이 름 :김성현Verilog
    리포트 | 4페이지 | 1,500원 | 등록일 2012.03.28
  • 가산기, 감산기
    즉 부호 비트(sign bit : MSB)로 올라오는 캐리와 부호 비트에서 올라가는 캐리(캐리 출력)이 다르면 오버플로우가 발생한다는 것을 뜻한다. ... 이론요약1비트 2진 가산기는 반가산기(Half Adder)와 전가산기(Full Adder)로 나누어 생각할 수 있다.인간의 계산 능력에 따라 여러 비트의 두수를 더할 때, 가장 낮은 ... B4와 B1 비트는 입력이 접지에 연결되어 있기 때문에 항상 0이다.Adder inputCommentComparator A>B output00000input is less than
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.20
  • 연산회로 예비보고서
    ■반가산기-반가산기(half adder)는 이진법으로 표시된 두 개의 수를 이진법의 덧셈 규칙에 따라 더하는 가산기입니다.그림 1 반가산기 회로도그림 2 반가산기 logic symbol그림 ... B) OPLUS C _{i`n} ②C _{out} =AB+(A OPLUS B)C _{i`n}■병렬 가산기-병렬가산기는 N비트의 가산기를 만드는 데 있어서 N개의 전가산기를 연결하여 ... ■직렬 가산기-직렬가산기는 전가산기 하나만을 이용하여 N비트의 가산을 할 수 있는 가산기입니다.직렬 가산기를 만들기 위해서는 시프트 레지스터 두 개에 각각 A, B 를 넣어 LSB가
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 디지털 회로설계 고속 동작 덧셈기 설계
    아래의 CLA 4bit block 을 이용하여 설계한다.- Fan-in constraints는 4로 제한한다.4. ... 분석1) CLA (Carry Look Ahead Adder)CLA는 Cin에 의해서 다른 모든 bit에 대한 Carry를 미리 알 수 있다. ... 이때 덧셈기는 16-bit word의 입력과 출력을 가지도록 한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2013.02.11
  • 디지털 설계과제 4-bit 계산기 구현
    II-1. 프로젝트 개발 목적1. 개발 목적강의시간에 배운 내용을 실제 구현함으로써 학습 효과를 높인다. 반가산기, 전가산기, 전감산기의 구성 및 동작 원리를 이해한다. ... II Manager는 현재 실행중인 project의 경로와 이름을 나타내는 타이틀 바(Title Bar), 각종 명령을 수행하기 위한 메뉴들이 포함되어 있는 풀다운 메뉴(Full-down
    리포트 | 28페이지 | 4,000원 | 등록일 2009.04.09 | 수정일 2017.03.31
  • 실험 2. 가산기 & 감산기(예비)
    실험 목적-Logic gate를 이용해서 가산기(adder)와 감산기 (substracter)를 구성한다. ... 실험 4) Full Subtracter(전감산기)5. 예상 결과물?실험 1) Half Adder(반가산기)회로만 잘 구성 된다면 진리표대로 구현 될 것이다. ... -디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작 원리를 이해한다.2.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • [FPGA] 16비트 Full Adder(전 가산기) 설계 소스 및 모델심 파형
    FPGA 레포트-16bit full-adder 설계하기1. ... full-adder 코드(2) 16bit full-adder 테스트 벤치파일 코드 (이름에 의한 연결)module tb_fulla16();wire [15:0] SUM;wire C_OUT ... 일단 예제에 있는 4비트 전가산기를 참조하여 1비트 전가산기를 사용해서 확장하는 원리라는 것을 알게 되었고 여러 가지로 시행 착오를 겪었지만 결국 원하는 소스를 만들어 내고 원하는
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.06
  • 디지털 회로 연산 예비보고서
    4. 디지털 연산 회로1. ... *************ABcn-1ABcn-1100101110111▶ 전감산기▶ Half adder and subtracter 의 회로도▶ Full adder and subtracter ... 관련 이론▶ 반가산기- 2개의 입력에 X, Y를 입력하고 출력에 sum, Carry를 지정함.- S는 합의 최하위 비트를 나타냄- C는 2개의 입력이 모두 1일때만 1로 출력됨- S
    리포트 | 12페이지 | 1,000원 | 등록일 2013.10.15
  • 실험6. 논리조합회로의 설계
    )-입력한 두 비트와 낮은 자리수에서 올라온 올림수를 더하는 경우에 발생하는 출력은 합과 올림수이다. ... 4개3-input AND7411 4개3-input NOR7427 4개4-input NAND7420 4개4-input AND7421 4개2-input XOR7486 4개5. ... 회로를 구현하면 그림 11과 같이 된다.S = BAR A B +A BARB = A OPLUS BC =AB그림 11 반가산기의 논리회로 및 블록도HAA SB C○전가산기(Full Adder
    리포트 | 25페이지 | 3,500원 | 등록일 2018.03.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대