• 통큰쿠폰이벤트-통합
  • 통합검색(708)
  • 리포트(683)
  • 시험자료(16)
  • 자기소개서(5)
  • 방송통신대(4)

"4bit-adder" 검색결과 401-420 / 708건

  • 결과보고서 실험 3. 가산기와 감산기 (Adder & Subtractor)
    parallel adder2-bit serial adder→ 2-bit serial adder와 2-bit parallel adder 두 종류의 2bit 입력 가산기의 구성에 대하여 ... 실제로 전가산기 회로에 입력 X에 인버터 IC를 달아주면 간단하게 전감산기를 만들 수 있다.(5) 2-bit parallel adder와 2-bit serial adder를 구성한 ... 결과는 예비보고서 작성한 시뮬레이션 값과 똑같았으며 X가 0이고 Y가 1일 때 B=1인데 이것은 윗자릿수에서 내려받았다는 의미로 해석이 된다.(4) 예비보고서 문제 5에서 구한 전감산기를
    리포트 | 4페이지 | 3,000원 | 등록일 2012.03.11
  • 전기전자기초실험 Chapter 9 Arithmetic Circuit DesignReport
    T/F table of 4-bit adder/subtracterInputOutputAiBiAdd/SubtractS3S2S1S0Overflow34+011102-3-01010-42+1110074 ... Since 16-bit ALU is made of four 4-bit ALUs and output value is the sum of each 4-bit ALUs, It tooks ... It adds n-bit carry look ahead logic gate to n 1-bit full adders.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.12.18
  • 4Bit Ripple Carry Adder의 이론과 레이아웃
    4 Bit Full Adder Layout- 목 차 -1. Conception for 1 Bit Adder2. Conception for 4 Bit Adder3. ... Conception for 4 Bit Adder일반적인 2진수 4자리 덧셈과 같은 방법이며 올림수를 C라는 CARRY로 표현■ 4BIT ADDER BLOCK DIAGRAM4개의 1BIT ... Process of 1 Bit Adder Layout4. Process of 4 Bit Adder Layout5. References1.
    리포트 | 13페이지 | 2,000원 | 등록일 2011.07.17
  • [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    ------------------16-bit ALU CLA Module----------------//module ALU_16bit0, M, S1);ALU4 ALU_0(A[3:0], ... , S1, B);or (X, and1, and2, and3, and4, and5);or (Y, and6, and7);endmodule//---------------------4-bit ... Carry outC1 = G0 +P0 · C0C2 = G1 +GO · P1+P0 · P1 · C0C3술논리장치는 4bit 산술논리장치를 4개를 연결하여 설계한다.
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • TTL gates Lab on Breadboard
    Half Adder : 이론과 일치한다.이론값실험값SW1SW2SC회로도RED0000S,C모두그대로(꺼져있음)0110S만켜짐1010S만켜짐1101C만켜짐Inlab 4. ... Post-Lab Report- Title: Lab#01_ TTL gates Lab on Breadboard -담당 교수담당 조교실 험 일학 번이 름목 차< 초록 (Abstract) ... 또 반가산기 두 개를 이용하여 전가산기 또한 구성해 보고 이를 이론과 비교해보는 실험의 합을 출력하는 논리 회로반가산기두 개 이상의 입력 비트(A, B)를 더하여 합(S)과 자리 올림
    리포트 | 26페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 전자회로실험 - Digital Stop Watch2
    나머지 디지털입력을 받는 두 개의 DAQ는 그림과같이 연결하는데 아래의 DAQ에서 240을 빼주는이유는 아래의 DAQ가 포트 0.0~0.7즉 8bit인데 우리는 4개의 비트만 쓰는데 ... 정상뺄셈의 경우 C4에서 Carry가 발생해서 1이 출력되며 이것이 Not게이트를 통해서 0이되며 이때는 두 번째 Adder의 B4,B2에 0이들어가서 첫 번째 Adder의 결과에 ... 그냥 0을더하게 된다.C4에서 Not게이트를 연결해서 나온 출력은 세 번째Adder의 B4,3,2 C0로도 가게된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • 전기전자회로실험 가산기만들기
    데이터의 크기가 2 이상이고 7 이하이면 출력이 1 이 되는 회로를 설계하라 . * 4 비트 = 입력값이 4 개 * 2 진수 데이터 = 입력값이 0 또는 1 * 변화 범위 0~9=10 ... FA(Full Adder) 안에는 HA(Half Adder) 블록도가 2 개 존재한다(1) 그림 4 의 진리표를 갖는 최대한 단순화된 논리회로도를 그려라 . ... 입력값 중에 1 이 2 개 이상 있으면 출력값이 1 이 나온다 .(5) 설계 어떤 회로에 4 비트로 이루어진 2 진수 데이터가 입력된다고 하자 .
    리포트 | 35페이지 | 2,000원 | 등록일 2012.11.01
  • [Flowrian] Wallace Tree 구조 곱셈기의 Verilog 설계 및 시뮬레이션 검증
    .- fa : 전가산기 - csadder16b : 16 비트 Carry Save Adder- rcadder16b : 16 비트 Ripple-Carry Adder- pprod16b : ... 전가산기의 Verilog 설계 및 검증3. 16 비트 Carry Save Adder 모듈의 Verilog 설계 및 검증4. 16 비트 Partial Product 계산 모듈의 Verilog ... 설계 및 검증5. 16 비트 Ripple-Carry 덧셈기의 Verilog 설계 및 검증6.
    리포트 | 27페이지 | 3,000원 | 등록일 2011.10.31
  • BCD 코드를 이용한 10진 가산기
    , z → 5bit (비트첨가)BCD Adder (10진 덧셈기)Digital design May 6. 2008Chonnam Uiv ElectronicsBCD Adder (10진 ... 발생한 올림수를 포함하여 3개의 입력 비트들의 합을 구하는 조합논리회로 - 3개의 입력과 2개의 출력으로 구성됨. 3개 입력은 피연산수 x와 연산수 y, 그리고 하위 비트에서 발생한 ... 출력이 1이면, 아래 4비트 2진식 가산기를 통해 2진식 합에 2진수 0110이 합해짐.디지털 회로의 설계 자동화에 사용하는 하드웨어 기술 언어VHDL ?
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • 논리회로 자판기 제작 최종 보고서
    0이면 0으로 출력.입력 신호를 클록 펄스의 시간 간격만큼 지연시켜 출력으로 내는 데 사용된다.3.예비회로도4. ... Theories· Adder가산기에는 반가산기(half adder)와 전가산기(full adder)의 두 종류가 있다. ... 반가산기는 Exclusive OR 회로와 자리 올림수 생성을 위한 AND 게이트로 구성된다.· Full adder컴퓨터 연산에서 반가산기로는 두 비트 이상의 2진수를 가산을 하는데
    리포트 | 10페이지 | 1,500원 | 등록일 2012.02.29
  • verilog를 이용한 부호있는 4bit 곱셈기(multiplier) 설계 및 분석
    받는 4bit registerreg [4:0] addout; //4bit adder에서 덧셈 연산 후 나온 결과reg [3:0] comout; //보수기에서 보수 연산 후 나온 결과reg ... [3:0] ACC, ACC_M; //ACC : 4bit adder에서 계산된 결과를 저장, ACC_M : multiplier 저장reg [1:0] k; //counter로 사용될 ... ; //4bit adder에서 덧셈 연산 후 나온 결과assign A={ACC[3:0],ACC_M[3:0]}; // ACC와 ACC_M가 연결된 A registercase(state
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.18
  • arithmetic circuit design(예비)
    Theory(1) 4-bit Adder / SubtracterThe simplest adder is composed of half adder and full adder. ... XOR gates.③ 4-bit Adder / SubtracterThe following figure shows full adder whe implemented by one full ... Also, to check overflow, the highest two bits is connected to XOR gate.④ verilog HDL code of 4-bit adder
    리포트 | 11페이지 | 1,000원 | 등록일 2011.07.09
  • 디지털공학 레포트( 8x3 우선순위 인코더, 3초과 코드이용 가산기)
    =1100(9)(4비트 이상으로의 캐리가 생기지 않았으므로 -3의 계산을 수행하였고, S4~S7의 값은 출력되지 않았다.)그림4 시뮬레이션 결과1A - A3:1 A2:0 A1:0 A0 ... 위해 OFF PAGE CONNECTOR를 이용함.그림6 4비트 리플캐리 가산기 회로 작성4비트 리플캐리 가산기에 캐리의 유무에 따라 보정 값을 정하기 위한 셀렉트선을 이용한 회로를 ... 가장 작은 자리의 수는 캐리가 더해지지 않기 때문에 Half Adder를 사용하였다.같은 자리의 두수의 합에서 생긴 캐리를 다음 자리의 수에 합하는데 있어서 회로도의 복잡함을 줄이기
    리포트 | 8페이지 | 2,500원 | 등록일 2013.10.29
  • [디지털시스템실험(Verilog)] TTL 기본 실습 예비보고서
    generator, digital multi-meter,bread board, wires, 4-bit full adder 회로도TTL - 7404, 7408, 7432, 7486, ... full adder의 원리를 이해하고, 4-bit full adder를 설계해본다.실험준비물Oscilloscope 및 사용 설명서, DC Power supply, function ... 비트 A와 B를 더해 합 S와 자리올림(carry) C0를 출력하는 조합회로이다.④ Full adderFull adder(전가산기)란 아래의 그림과 같이 2개의 비트 A, B와 밑자리로부터의
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • verilog, 베릴로그, 베릴로그로 짠 32bit ALU
    32bits_ALU Code capture◎Full_Adder 및 Half_Adder Code capture-Full_Adder Source--Half_Adder Source-◎2- ... 32개->2-to-1 멀티플렉서->Full_Adder->Half_Adder 2개->8-to-1 멀티플렉서->Shift_left 모듈◎one_bit_ALU Code capture◎_ ... $sp)3529314addi $sp, $sp, 8829298add $v0, $a0, $v00422032jr $ra0310008_32bits_ALU->overflow검출기->1bit_ALU
    리포트 | 8페이지 | 1,000원 | 등록일 2011.10.13
  • 4장. 디지털 연산 회로 - 결과레포트
    관련 이론▶ 반가산기- 2개의 입력에 X, Y를 입력하고 출력에 sum, Carry를 지정함.- S는 합의 최하위 비트를 나타냄- C는 2개의 입력이 모두 1일때만 1로 출력됨- S ... ▶ Half adder and subtracter 의 회로도- C 가 Low 일 때 반가산기 회로와 같음input오실로스코프SCCXYLowLowLow400mv400mvLowLowHigh4.641v400mvLowHighLow4.561v400mvLowHighHigh400mv4.721v ... 회로구성도회로 종류회로 사진반가산기반감산기NAND Gate를 이용한 반가산기전가산기회로 종류회로 사진전감산기Half adder and subtracterFull adder and subtracter실험회로
    리포트 | 22페이지 | 2,000원 | 등록일 2013.10.16
  • 3장 오픈컬렉터와 3상태 버터, 인버터 및 4장 가산기
    반가산기(Half Adder)한 비트씩 두 개의 2진수를 더하는 경우 4가지 상태의 값이 나온다. ... 입력은 두 개의 2진수 비트로 구성되고, 출력은 이들 두 개의 비트의 합과 자리올림(carry)으로 구성된다. ... 이러한 과정을 수행하는 장치를 전가산기라고 하고 진리표는 표 4.2와 같다.Cn+1=1Cn=1Cn-1=1011.....A+101.....B1000Cn+1Sn+1SnSn-1표 4.2
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.10
  • 실험3결과[1].가산기와감산기
    참고 사항Carry Look-ahead adder그림 SEQ 그림 \* ARABIC 7. 4-bit Carry Look-ahead Adder- 실험에서 사용한 adder는 모두 ripple ... 이런 과정을 통해 연속적인 계산을 하는 것이 전가산기의 특징이다.2-bit serial adder와 2-bit parallel adder를 구성한 뒤 각각의 입력에 대한 출력을 측정하고 ... 이 회로는 입력 신호를 Serial로 연속적으로 받아서 그 결과를 내보내는데 bit의 제약이 없이 사용할 수 있다는 장점을 갖고 있다.회로 SEQ 회로 \* ARABIC 4. 2-bit
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.27
  • 2011년 연세대학교 컴퓨터구조 이용석교수님 프로젝트
    Carry look-ahead adder는 digital logic에서 주로 사용되는 adder로 carry bit을 결정하는데 소요되는 시간을 줄여서 연산 속도를 향상시켰다. ... amount, 6bit의 function으로 이루어져있다. -6bit5bit5bit5bit5bit6bitR formatopcodesourcetarget.... ... 이는 조금 더 간단한 ripple carry adder와 비교 되는데, ripple carry adder의 경우 carry bit이 sum bit과 동시에 연산되어야 하며, 그리고
    리포트 | 9페이지 | 3,000원 | 등록일 2011.06.15
  • [논리 회로 실험]디지털 논리회로 프로젝트 Ripple Adder와 CLA(Carry look ahead) Adder의 비교
    우리가 작성한 4bit adder에서는 큰 차이가 나지 않았으나 8bit, 16bit등 점점 bit 수가 올라가면 차이가 많이 날 것이다. ... 그리고 속도도 Ripple 방식에 비해 빠르다. 4bit Adder라서 지연시간의 차이가 그렇게 많이 나지 않았다. ... 4bit adder 모듈input A0,A1,A2,A3,B0,B1,B2,B3,C0;wire C1,C2,C3,C4,P0,P1,P2,P3,G0,G1,G2,G3,CC1,CC2,CC3;output
    리포트 | 6페이지 | 2,000원 | 등록일 2005.10.26 | 수정일 2023.05.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대