• 통큰쿠폰이벤트-통합
  • 통합검색(772)
  • 리포트(744)
  • 시험자료(18)
  • 자기소개서(6)
  • 방송통신대(4)

"4 bit adder" 검색결과 441-460 / 772건

  • 전가산기 반가산기 어셈블리어
    Full Adder ( 전가산기 )전가산기는 (full adder) 2진 숫자(비트)를 덧셈하기 위한 논리 회로의 하나. 온 덧셈기라고도 한다. ... 반가산기 (half adder)2진 숫자(비트)를 덧셈하기 위해 사용되는 논리 회로의 일종으로 반 덧셈기는 2개의 디지털 입력(비트)를 받고, 2개의 디지털 출력(비트)를 생성한다. ... 올림 비트를 덧셈하는 것은 온 덧셈기의 기능이다., 따라서 2개의 반덧셈기를 온 덧셈기와 조합시켜, 동시에 4비트 또는 그 이상의 덧셈을 할 수 있다.1) 진리표2) 회로도3)
    리포트 | 7페이지 | 1,000원 | 등록일 2013.05.24
  • 논리회로설계실험 ALU & multiplier (결과보고서)
    설계할 곱셈기가 수행할 수 있는 범위는 4bit까지이고 결과로 나올 비트수는 8bit가 된다. ... 곱셈연산을 해줄 4자리수 2진수를 위하여 4bit의 입력값 x, y을 선언한 후 곱셈의 결과값으로 출력해 줄 bit수인 p를 8bit의 크기로 선언을 한다. ... 그리고 multiplier의 경우에는 이전에 설계하였던 adder를 활용하여 곱셈기를 설계하는 것이었는데 한자리수 2복잡할 뿐 배웠던 것을 활용하여 충분히 설계가 가능하다.4.
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 실험3 예비보고서
    또한 이 두 방법을 이용하여 4-bit serial adder4-bit parallel adder를 각각 구성하시오.① serial 가산기더하는 수와 더해지는 수의 비트 쌍들이 ... Adder & Subtractor1. ... 직렬로 한 비트씩 전가산기에 전달되어 저장된 자리 올림수와 함께 덧셈이 수행되어 합과 자리 올림수를 생성하고, 생성된 자리 올림수는 올림수 저장기에 저장되어 다음 자리의 비트와 함께
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.01
  • 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 예비보고서
    Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥10< 초록 (Abstract) >이번 실험은 Verilog HDL으로 1bit full adder를 구동시키는 실험이다.Test ... (가) BEHAVIORAL MODELING실험(나) “Lab 1”을 위한 실험 순서 및 구현 방법1. behavioral modeling을 사용하여 1BIT FULL ADDER 회로를 ... ADDER 회로를 만든 후 저장한다.2.
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전자전기컴퓨터설계실험2(전전설2)4주차결과
    조합회로의 예로는 And gate, Adder, Mux 등이 있다.다. 감산기4bit 가산기와 마찬가지로 하위 모듈들로 구성된다. ... 감산기는 다음과 같다.나. module instance 설계 시 주의할 점앞서 4bit 비교기에서 있어서 맨 왼쪽 bit 부터 그 수를 비교해 나가야 한다. ... 가산기의 경우 반가산기들의 상위 모듈인 전가산기가 4bit 가산기를 만들었던 것처럼 감산기 짜놓은 HDL을 불러와 본 코드에 이용하는 것을 말한다.
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 4비트 전감가산기 설계결과보고서
    (A3A2A1A0+B3B2B1B0→C4S3S2S1S0)※ 1Bit 전가산기(FA)3) 4비트 전감산기- 일반적으로 뺄셈은 보수의 덧셈으로 변환하여 수행할 수 있다. ... bit adder_subtractor)의 설계를 하는 것이었다. 1학기때 디지털 공학시간에 해서인지 기억이 가물가물해서 다시 책을 찾아 공부하니 1학기때보다는 가감산기에 대한 내용이 ... 아래그림은 4비트 가산기의 회로도이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 서강대학교 디지털논리회로실험 텀프로젝트
    마지막으로 cout은 추후 설명할 5bit adder의 carryout인데, 이 carryout이 1인 경우에도 총액 mo[4..0]가 31, 즉 3100원보다 커지는 것이므로 추가적인 ... 위의 comparator에서는 상위 2bit mo[4..3]이 11과 같은 경우에만 하위 3bit를 비교하도록 제한해주고 있음을 알 수 있는데, 이는 mo[4..3]이 11보다 작으면 ... 자세히 살펴보면 4bit comparator 2개를 써주고 있는데, 위의 comparator는 총 액수의 상위 2bit인 mo[4..3], 그리고 아래의 comparator는 총 액수의
    리포트 | 36페이지 | 3,500원 | 등록일 2014.01.02
  • digitalAdder
    of the digital adder circuit.To get a feel of the adder operation by using the 74LS83 4-bit full adder ... lab procedure.Experimental Procedure4-Bit Addition with 74LS83Problems: NoneThe results wereexactlyas ... predicted.Result: The 74LS83 was able to successfully perform4-bit binary addition with a carry-in and
    리포트 | 4페이지 | 1,000원 | 등록일 2012.02.11
  • 디지털시스템 설계 MealyMachine 및 ALU Verilog 구현 및 테스트벤치
    of 4-Bits ALU, which operates logical AND, OR,XOR and 2’s complement adder/subtractor with overflow ... 리포트과목 :학과 :학번 :Prob. 1) Verilog coding and simulation for 4-bits ALU(a) Draw a detailed circuit diagram ... (b) Write Verilog code, compile, simulate and produce a timing diagram (waveform) forthe 4-bits ALU.
    리포트 | 9페이지 | 2,000원 | 등록일 2012.11.14
  • 가산기, 감산기
    즉 부호 비트(sign bit : MSB)로 올라오는 캐리와 부호 비트에서 올라가는 캐리(캐리 출력)이 다르면 오버플로우가 발생한다는 것을 뜻한다. ... 이론요약1비트 2진 가산기는 반가산기(Half Adder)와 전가산기(Full Adder)로 나누어 생각할 수 있다.인간의 계산 능력에 따라 여러 비트의 두수를 더할 때, 가장 낮은 ... B4와 B1 비트는 입력이 접지에 연결되어 있기 때문에 항상 0이다.Adder inputCommentComparator A>B output00000input is less than
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.20
  • 디지털 회로설계 고속 동작 덧셈기 설계
    분석1) CLA (Carry Look Ahead Adder)CLA는 Cin에 의해서 다른 모든 bit에 대한 Carry를 미리 알 수 있다. ... 이번 16bit CLA설계에서는 4bit CLA block 을 4개 연결하여 구현하도록 한다. 4bit CLA 끼리는 Ripple 구성이므로 4bit CLA 의 delay를 X라하면 ... 아래의 CLA 4bit block 을 이용하여 설계한다.- Fan-in constraints는 4로 제한한다.4.
    리포트 | 8페이지 | 2,000원 | 등록일 2013.02.11
  • vhdl ( full adder ) 실행과정화면 + 결과화면 + 소스파일
    < 메인화면 fa_s1 >< 풀에더 fa >< 하프에더 ha >< or연산기 or_2 >< 결과 화면 >VHDL< Full Adder 소스 >----------------------
    리포트 | 5페이지 | 1,000원 | 등록일 2011.05.29
  • 연산회로 예비보고서
    ■반가산기-반가산기(half adder)는 이진법으로 표시된 두 개의 수를 이진법의 덧셈 규칙에 따라 더하는 가산기입니다.그림 1 반가산기 회로도그림 2 반가산기 logic symbol그림 ... {} ^{} A OPLUS B=A bar{B} + bar{A} B ②C _{out} =AB■전가산기-전가산기는 A, B 두 개의 수와 전단의 자리올림을 더해주는 가산기입니다.그림 4 ... ■직렬 가산기-직렬가산기는 전가산기 하나만을 이용하여 N비트의 가산을 할 수 있는 가산기입니다.직렬 가산기를 만들기 위해서는 시프트 레지스터 두 개에 각각 A, B 를 넣어 LSB가
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 실험 2. 가산기 & 감산기(예비)
    실험 4) Full Subtracter(전감산기)5. 예상 결과물?실험 1) Half Adder(반가산기)회로만 잘 구성 된다면 진리표대로 구현 될 것이다. ... 실험 1) Half Adder(반가산기)?실험 2) Full Adder(전가산기)?실험 3) Half Subtracter(반감산기)? ... 반가산기에서는 자리 올림수를 윗자리에 더해줄 수 없으므로 1+1은 0으로 처리된다.입력 변수 A와 B가 2비트 이상으로 구성되어 있을 때 반가산기만으로는 불가능함. 2진수의 덧셈에서
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • [FPGA] 16비트 Full Adder(전 가산기) 설계 소스 및 모델심 파형
    FPGA 레포트-16bit full-adder 설계하기1. ... 일단 예제에 있는 4비트 전가산기를 참조하여 1비트 전가산기를 사용해서 확장하는 원리라는 것을 알게 되었고 여러 가지로 시행 착오를 겪었지만 결국 원하는 소스를 만들어 내고 원하는 ... full-adder 코드(2) 16bit full-adder 테스트 벤치파일 코드 (이름에 의한 연결)module tb_fulla16();wire [15:0] SUM;wire C_OUT
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.06
  • 결과보고서 실험 3. 가산기와 감산기 (Adder & Subtractor)
    parallel adder2-bit serial adder→ 2-bit serial adder와 2-bit parallel adder 두 종류의 2bit 입력 가산기의 구성에 대하여 ... 실제로 전가산기 회로에 입력 X에 인버터 IC를 달아주면 간단하게 전감산기를 만들 수 있다.(5) 2-bit parallel adder와 2-bit serial adder를 구성한 ... 결과는 예비보고서 작성한 시뮬레이션 값과 똑같았으며 X가 0이고 Y가 1일 때 B=1인데 이것은 윗자릿수에서 내려받았다는 의미로 해석이 된다.(4) 예비보고서 문제 5에서 구한 전감산기를
    리포트 | 4페이지 | 3,000원 | 등록일 2012.03.11
  • 전기전자기초실험 Chapter 9 Arithmetic Circuit DesignReport
    T/F table of 4-bit adder/subtracterInputOutputAiBiAdd/SubtractS3S2S1S0Overflow34+011102-3-01010-42+1110074 ... However, it needs carry look ahead logic gate, whm delay route of 4-bit adder/subtracter, then calculate ... Since 16-bit ALU is made of four 4-bit ALUs and output value is the sum of each 4-bit ALUs, It tooks
    리포트 | 6페이지 | 1,000원 | 등록일 2011.12.18
  • 디지털 회로 연산 예비보고서
    4. 디지털 연산 회로1. ... 관련 이론▶ 반가산기- 2개의 입력에 X, Y를 입력하고 출력에 sum, Carry를 지정함.- S는 합의 최하위 비트를 나타냄- C는 2개의 입력이 모두 1일때만 1로 출력됨- S ... and subtracter 의 회로도▶ Full adder and subtracter 의 회로도▶ 실험회로 1▶ 실험회로 2?
    리포트 | 12페이지 | 1,000원 | 등록일 2013.10.15
  • [아주대] 논리회로실험 3장 결과(가산기 & 감산기)
    >실험 4 ? ... NAND gate + NOT gate의 구조로 되어있고 NOR gate와 NOT gate로 설계한다면 더욱 적은 개수의 트랜지스터를 쓰므로 속도나 전력 면에서 좋을 것 같다.< 실험 4 ... Full Adder ( 전가산기 )회로도실험 예상 진리표INPUTOUTPUTABC _{i}SC _{o}00000001100101001101100101010111001위 회로도에서와
    리포트 | 6페이지 | 2,000원 | 등록일 2013.09.15
  • [디지털시스템실험(Verilog)] 32×32 Binary Multiplier 예비보고서
    Out의 MSB가 된다.실제 실험에서 시도해 볼 만한 사항은, 32비트 and게이트와 full adder가 32번 반복해서 사용될 때이를 늘어뜨려 작성하는 것이 아닌, 좀 더 간결한 ... 이때 Xn의 최상위 bit는이전 adder의 carry값이 되고, 나머지 31 bits는 이전 adder의 sum값 중 상위 31 bits가 된다.이전 adder의 LSB는, 앞에서 ... 가수이다.코드를 보면 Wn은 32bit의A와, 1bit의 B[n]의 곱(AND게이트 통과)으로 나타내어져 있는 것을 알 수 있다.wire Xn은 각 adder의 피가수이다.
    리포트 | 2페이지 | 1,500원 | 등록일 2011.10.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:59 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대