• 통큰쿠폰이벤트-통합
  • 통합검색(772)
  • 리포트(744)
  • 시험자료(18)
  • 자기소개서(6)
  • 방송통신대(4)

"4 bit adder" 검색결과 461-480 / 772건

  • 서강대학교 디지털회로설계 설계1 16bit CLA
    이때 덧셈기는 16-비트의 입력과 출력을 가지도록 한다. ※ 제한요인 ① 효율적인 프로그래밍으로 연산속도를 최소화한다. ... (FA)Full Adder의 Truth table 은 다음과 같다. ... (실현 가능성) ※ 주의사항 ① VHDL언어를 사용하여 설계한다. ② 각 논리 게이트는 특정 지연 시간을 갖도록 설계한다. ※ 테스트 방법① 16-비트 입력에 대한 test
    리포트 | 13페이지 | 2,500원 | 등록일 2013.04.12 | 수정일 2014.01.03
  • [Flowrian] Wallace Tree 구조 곱셈기의 Verilog 설계 및 시뮬레이션 검증
    전가산기의 Verilog 설계 및 검증3. 16 비트 Carry Save Adder 모듈의 Verilog 설계 및 검증4. 16 비트 Partial Product 계산 모듈의 Verilog ... .- fa : 전가산기 - csadder16b : 16 비트 Carry Save Adder- rcadder16b : 16 비트 Ripple-Carry Adder- pprod16b : ... 설계 및 검증5. 16 비트 Ripple-Carry 덧셈기의 Verilog 설계 및 검증6.
    리포트 | 27페이지 | 3,000원 | 등록일 2011.10.31
  • 전자회로실험 - Digital Stop Watch2
    나머지 디지털입력을 받는 두 개의 DAQ는 그림과같이 연결하는데 아래의 DAQ에서 240을 빼주는이유는 아래의 DAQ가 포트 0.0~0.7즉 8bit인데 우리는 4개의 비트만 쓰는데 ... 정상뺄셈의 경우 C4에서 Carry가 발생해서 1이 출력되며 이것이 Not게이트를 통해서 0이되며 이때는 두 번째 Adder의 B4,B2에 0이들어가서 첫 번째 Adder의 결과에 ... 그냥 0을더하게 된다.C4에서 Not게이트를 연결해서 나온 출력은 세 번째Adder의 B4,3,2 C0로도 가게된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • 디지털 회로 레포트
    ◆ VHDL code 로 나타내면 다음과 같이 표현 할 수 있다.library ieee;use ieee.std_logic_1164.all;entity segment isport (i:in std_logic_vector(3 downto 0);O:out std_logic_..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.11.30
  • TTL gates Lab on Breadboard
    Half Adder : 이론과 일치한다.이론값실험값SW1SW2SC회로도RED0000S,C모두그대로(꺼져있음)0110S만켜짐1010S만켜짐1101C만켜짐Inlab 4. ... 또 반가산기 두 개를 이용하여 전가산기 또한 구성해 보고 이를 이론과 비교해보는 실험의 합을 출력하는 논리 회로반가산기두 개 이상의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 ... Full Adder : 이론과 일치한다.이론값실험값123SC회로도RED00000S,C모두그대로(꺼져있음)00110S만켜짐01010S만켜짐01101C만켜짐10010S만켜짐10101C만켜짐11001C만켜짐11111S
    리포트 | 26페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 전기전자회로실험 가산기만들기
    Full Adder) 안에는 HA(Half Adder) 블록도가 2 개 존재한다(1) 그림 4 의 진리표를 갖는 최대한 단순화된 논리회로도를 그려라 . ... 데이터의 크기가 2 이상이고 7 이하이면 출력이 1 이 되는 회로를 설계하라 . * 4 비트 = 입력값이 4 개 * 2 진수 데이터 = 입력값이 0 또는 1 * 변화 범위 0~9=10 ... 입력값 중에 1 이 2 개 이상 있으면 출력값이 1 이 나온다 .(5) 설계 어떤 회로에 4 비트로 이루어진 2 진수 데이터가 입력된다고 하자 .
    리포트 | 35페이지 | 2,000원 | 등록일 2012.11.01
  • 논리회로 자판기 제작 최종 보고서
    Theories· Adder가산기에는 반가산기(half adder)와 전가산기(full adder)의 두 종류가 있다. ... 반가산기는 Exclusive OR 회로와 자리 올림수 생성을 위한 AND 게이트로 구성된다.· Full adder컴퓨터 연산에서 반가산기로는 두 비트 이상의 2진수를 가산을 하는데 ... 입력 단자가 있고 클록 펄스가 인가되었을 때 입력 신호가 1이면 1로, 0이면 0으로 출력.입력 신호를 클록 펄스의 시간 간격만큼 지연시켜 출력으로 내는 데 사용된다.3.예비회로도4.
    리포트 | 10페이지 | 1,500원 | 등록일 2012.02.29
  • verilog를 이용한 부호있는 4bit 곱셈기(multiplier) 설계 및 분석
    받는 4bit registerreg [4:0] addout; //4bit adder에서 덧셈 연산 후 나온 결과reg [3:0] comout; //보수기에서 보수 연산 후 나온 결과reg ... [3:0] ACC, ACC_M; //ACC : 4bit adder에서 계산된 결과를 저장, ACC_M : multiplier 저장reg [1:0] k; //counter로 사용될 ... signed_multiplier_4x4(clk, st, mcand, mplier, product, done);input clk, st;input [3:0] mcand; //4bit
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.18
  • 실험6. 논리조합회로의 설계
    )-입력한 두 비트와 낮은 자리수에서 올라온 올림수를 더하는 경우에 발생하는 출력은 합과 올림수이다. ... 회로를 구현하면 그림 11과 같이 된다.S = BAR A B +A BARB = A OPLUS BC =AB그림 11 반가산기의 논리회로 및 블록도HAA SB C○전가산기(Full Adder ... 4개3-input AND7411 4개3-input NOR7427 44-input NAND7420 44-input AND7421 4개2-input XOR7486 4개5.
    리포트 | 25페이지 | 3,500원 | 등록일 2018.03.04
  • [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    Carry outC1 = G0 +P0 · C0C2 = G1 +GO · P1+P0 · P1 · C0C3술논리장치는 4bit 산술논리장치를 4개를 연결하여 설계한다. ... , S1, B);or (X, and1, and2, and3, and4, and5);or (Y, and6, and7);endmodule//---------------------4-bit ... AiB0100보수(A')Ai00101논리곱(A and B)Ai AND Bi00110논리합(A or B)Ai OR Bi00111전달(A)Ai00고속가산기(Carry Lookahead Adder
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • [verilog]8비트 가감산기 설계
    (x,A,clk);reg_8bit U1(y,B,clk);reg_8bit U2(sum,S,clk);d_ff U3(overf,OverFlow,clk);FA8 U4(A, mod_B, mode ... ==sum[7])) 와 같이 지정하였습니다.adder.v 코딩module adder(x, y, clk, mode, cout, S, OverFlow);input [7:0] x, y;input ... mode, clk;output [7:0] S;output cout, OverFlow;wire overf;wire[7:0] x,y,mod_B, A ,B ,sum;reg_8bit U0
    리포트 | 5페이지 | 3,000원 | 등록일 2012.04.30 | 수정일 2021.05.07
  • [디지털시스템실험(Verilog)] TTL 기본 실습 예비보고서
    full adder의 원리를 이해하고, 4-bit full adder를 설계해본다.실험준비물Oscilloscope 및 사용 설명서, DC Power supply, function ... generator, digital multi-meter,bread board, wires, 4-bit full adder 회로도TTL - 7404, 7408, 7432, 7486, ... 비트 A와 B를 더해 합 S와 자리올림(carry) C0를 출력하는 조합회로이다.④ Full adderFull adder(전가산기)란 아래의 그림과 같이 2개의 비트 A, B와 밑자리로부터의
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • 최신 ASML 합격 자소서+전화영어인터뷰+자세한 면접후기
    항상 최고의 기술에 대한 열망을 가지고 차근차근 모든 과정을 밟아 나가겠습니다.4. ... 입출력 비트수가 맞지 않았고 선언부가 달랐고 결정적으로 진행상황을 봐가며 협력하지 않다 보니 서로의 코드를 이해하는데 너무 많은 시간이 걸렸습니다. ... LabEngineering design course: FDCT design of JPEG algorithmVerilog HDLUsing M.T Sun algorithmDesigning adder
    자기소개서 | 12페이지 | 3,000원 | 등록일 2016.11.20 | 수정일 2018.02.21
  • 디지털공학 레포트( 8x3 우선순위 인코더, 3초과 코드이용 가산기)
    위해 OFF PAGE CONNECTOR를 이용함.그림6 4비트 리플캐리 가산기 회로 작성4비트 리플캐리 가산기에 캐리의 유무에 따라 보정 값을 정하기 위한 셀렉트선을 이용한 회로를 ... 가장 작은 자리의 수는 캐리가 더해지지 않기 때문에 Half Adder를 사용하였다.같은 자리의 두수의 합에서 생긴 캐리를 다음 자리의 수에 합하는데 있어서 회로도의 복잡함을 줄이기 ... 3초과코드 가산기를 설계하였기 때문에 그 이상의 비트는 캐리가 생길 때 0100(1) 값의 표현만 필요하므로 4비트 이상에서의 캐리에 있어서는 가산기를 사용하지 않고 직접 입력되도록
    리포트 | 8페이지 | 2,500원 | 등록일 2013.10.29
  • 2011년 연세대학교 컴퓨터구조 이용석교수님 프로젝트
    이는 조금 더 간단한 ripple carry adder와 비교 되는데, ripple carry adder의 경우 carry bit이 sum bit과 동시에 연산되어야 하며, 그리고 ... Carry look-ahead adder는 digital logic에서 주로 사용되는 adder로 carry bit을 결정하는데 소요되는 시간을 줄여서 연산 속도를 향상시켰다. ... Logic은 4bit의 ALU를 4개 연결하여 16bit ALU, 이 16bit ALU를 또 두 개 연결하여 만든다. ① PC(Program counter), Clock현재 명령의
    리포트 | 9페이지 | 3,000원 | 등록일 2011.06.15
  • verilog, 베릴로그, 베릴로그로 짠 32bit ALU
    32bits_ALU Code capture◎Full_Adder 및 Half_Adder Code capture-Full_Adder Source--Half_Adder Source-◎2- ... 32개->2-to-1 멀티플렉서->Full_Adder->Half_Adder 2개->8-to-1 멀티플렉서->Shift_left 모듈◎one_bit_ALU Code capture◎_ ... $sp)3529314addi $sp, $sp, 8829298add $v0, $a0, $v00422032jr $ra0310008_32bits_ALU->overflow검출기->1bit_ALU
    리포트 | 8페이지 | 1,000원 | 등록일 2011.10.13
  • 디지털 회로 자판기 보고서
    저장부UCY7483N, HD74HC74P1.UCY7483N-4-bit binary full adderUCY7483N은 2의 보수 쳬계를 사용하여 덧셈과 뺄셈을 할 수 있는 IC칩이다 ... 저장부에도 HD74HC74P가 사용되며 UCY7483N감가산기에서 출계산된 값이 각 비트마다 HD74HC74P에 하나씩 들어간다. ... 출력부SN74LS90DE4, 74LS471.SN74LS90DE4-decade counter (separate divide-by-2 and divide-by-5 sections)SN74LS90DE4
    리포트 | 10페이지 | 4,000원 | 등록일 2015.12.18 | 수정일 2021.03.22
  • 실험3결과[1].가산기와감산기
    참고 사항Carry Look-ahead adder그림 SEQ 그림 \* ARABIC 7. 4-bit Carry Look-ahead Adder- 실험에서 사용한 adder는 모두 ripple ... 이 회로는 입력 신호를 Serial로 연속적으로 받아서 그 결과를 내보내는데 bit의 제약이 없이 사용할 수 있다는 장점을 갖고 있다.회로 SEQ 회로 \* ARABIC 4. 2-bit ... 이런 과정을 통해 연속적인 계산을 하는 것이 전가산기의 특징이다.2-bit serial adder와 2-bit parallel adder를 구성한 뒤 각각의 입력에 대한 출력을 측정하고
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.27
  • RCA
    1.실습목표Full Adder 모듈을 사용하여서 4비트 Ripple Carry Adder를 설계 할 수 있다. ... s 0011첫 번째 비트에 1어서 Full Adder를 구성하고 그 Full Adder4개 연결 되어 있다. ... out input 4bit carry inyes5RCA4Bit Addercin=0 x=1000y=1000sum will be 0000 4bit carry out didn't appearyes6RCA4Bit
    리포트 | 16페이지 | 2,000원 | 등록일 2011.02.28
  • 3장 오픈컬렉터와 3상태 버터, 인버터 및 4장 가산기
    반가산기(Half Adder)한 비트씩 두 개의 2진수를 더하는 경우 4가지 상태의 값이 나온다. ... 입력은 두 개의 2진수 비트로 구성되고, 출력은 이들 두 개의 비트의 합과 자리올림(carry)으로 구성된다. ... 전가산기(Full Adder)A, B 두 입력 외에 앞단으로부터 1개의 자리올림수도 동시에 가산을 행할 수 있는 회로를 전가산기회로라 한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대