• 통큰쿠폰이벤트-통합
  • 통합검색(487)
  • 리포트(481)
  • 자기소개서(3)
  • 시험자료(3)

"아주대학교 논리회로" 검색결과 461-480 / 487건

  • 결과보고서 실험 4. 멀티플렉서와 디멀티플렉서( Multiplexer & Demultiplexer)
    특히 논리회로에서 다루는 신호는 크게 active high와 active low로 구분되는데 Active high 신호는 그 값이 1일 때, 즉 High일 때 그 신호가 가진 특정한 ... 또, 실험이론을 통해 살펴보면 MUX와 DEMUX는 각각 사용되는 경우 보다 함께 구현되는 경우가 많은데(예를 들어 학교 방송 시설 중 방송을 원하는 교실이나 장소에만 틀어주는 경우 ... 멀티플렉서(1) Enable 입력을 갖는 4x1 멀티플렉서를 74HC20과 74HC04를 이용하여 다음 회로와 같이 구성한다.
    리포트 | 4페이지 | 3,000원 | 등록일 2012.03.11
  • 실험 3. 가산기와 감산기(Adder & Subtractor)
    이 두 방법의 특징과 논리회로 설계 방식을 설명하시오. ... 및 시뮬레이션(3) 이론의 반감산기의 진리표를 참고하여 부울 함수를 구하고 논리 회로를 구성하시오.입력출력x(TM1)y(TM2)CS0000011110011100반감산기의 논리 회로 ... 이는 결국 논리회로에서 행해지는 연산은 결국 모두 덧셈으로 표현 할 수 있다는 것을 의미한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • [논실]예비3, 200820126, 안효중, 4조
    발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2011.9.21과목명: 논리회로실험교수명 ... XOR gate(74HC86)와 AND gate(74HC08)를 이용해 회로도대로 구성한다. ... 두 개의 입력 A, B의 합 S와 자리올림(carry) C를 출력하는 회로다.입력출력ABSC*************101② 전가산기(Full adder) - 두 개의 입력 A, B와
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • [논실]예비11, 200820126, 안효중, 정주익, 4조
    이를 통해 지금까지 했던 논리회로 실험을 좀 더 고급화된 회로설계 기법으로 구성해 볼 수 있다.② 회로 구성? ... 실험을 FPGA를 이용해 구현하여 실제 동작을 확인하여 본다.[2] 주요 이론① VHDL을 이용한 FPGA 설계FPGA는 논리회로를 반도체에 실장시키는 대표적인 방법이다. ... 번: 200820126, 200820192성 명: 안효중, 정주익 (4조)< Chap.11 FPGA 실습 예비보고서 >[1] 실험 목적FPGA가 무엇인지 알아보고, 지금까지 했던 논리회로
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • 실험 2. CMOS 회로의 전기적 특성
    Propagation delay)(a) 천이시간에 스위칭하는 이상적인 경우(b)현실적인 근사 (c)실제 타이밍(a)상승 시간과 하강 시간을 무시할 경우(b)천이의 중간점에서 측정할 경우논리회로의 ... 0.26V6.0IO=-20㎂-0.1V6.0IO=-5.2㎃-0.26V(3) 천이시간(Transition time)과 전달지연(Propagation delay)의 정의를 각각 쓰고 말로 설명하시오.논리회로 ... (a)입출력 전달 특성 (b)논리기호
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • VHDL 설계과제 LINE TRACER
    프로젝트 고찰3.1 프로젝트 결과에 대한 고찰▶ VHDL를 통한 라인 트레이서 설계 프로젝트를 통하여 VHDL이라는 언어를 이해하고 사용에 익숙해지고 더불어 디지털 논리 회로 설계에 ... 즉, sequential한 논리의 구조를 가졌다.▶ 동작 : 정지 신호 인식정지센서(sensor1)의 두 개의 정지 센서(위 그림에서 파란색 센서)가 모두 정지 신호를 인식(‘1’로
    리포트 | 6페이지 | 5,000원 | 등록일 2012.03.11
  • Traffic Light Controller
    .▶ VHDL과 COMBO를 통하여 디지털 논리 회로 설계에 대해 공부한다.1.2 신호등 작동 원리▶ 신호등은 4거리 신호등의 체계를 가지고,실제 생활에 사용되는 신호등 체계를 그대로 ... 사용하고,Counter를 이용하여 일반화된 Clock 사용- system_clk의 시간이 얼마나 흘렀느냐에 따라서 신호가 어떻게 변화하는지 결정: architecture body 내의 회로
    리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • 실험 4. 멀티플렉서와 디멀티플렉서( Multiplexer & Demultiplexer)
    우리가 Decoder 회로를 구성할 때 가장 많이 쓰는 IC는 74HC138, 74HC139 이다. ... .< 질문사항 >(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit ... (S는 스위치의 역할)(2) 다음 회로도의 Tri-state buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • [논실]예비4, 200820126, 안효중, 4조
    발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2011.9.28과목명: 논리회로실험교수명 ... 참조해 회로를 구성한다. ... 각 입력에 따라 출력 결과가 어떻게 나오는지 실험으로 확인해 본다.② 멀티플렉서(74HC153)→4x1 멀티플렉서 IC(74HC153)를 이용해 회로도대로 회로를 구성한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • [논실]예비7, 200820126, 안효중, 4조
    발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2011.10.26과목명: 논리회로실험교수명 ... 회로도대로 회로를 구성한 후에 CLR, PR, SERIAL DATA의 각 입력에 따른 출력을 살펴본다. 출력 결과는 LED의 점등 여부를 통해 알 수 있다. ... 예를 들어 1101이 클럭대로 들어가면 FF1부터 FF4까지 1000→0100→1010→1101 순으로 shift 되는 모습을 볼 수 있다.위의 회로도는 JK 플립플롭에 공통적으로
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • [논실]예비5, 200820126, 안효중, 4조
    발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2011.10.5과목명: 논리회로실험교수명 ... 10진 / Excess-3 코드→ 회로도대로 5개의 입력과 4개의 출력을 가지는 회로를 구성한다. ... 회로도를 참조하여 74HC42에 결선을 하면 간단하게 만들 수 있다. 입력 4개에 BCD 코드를 넣어주면 10진 코드로 출력되게 된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.02.29
  • 실험 6. 시프트레지스터와 카운터 (Shift Register & Counter)
    .< 질문사항 >(1) 시프트레지스터로 만들어진 링카운터의 구조와 동작원리에 대해 조사하라.시프트 레지스터를 상태도가 순환형태인 상태기계를 구성하기 위해서 조합논리와 결합시킬 수도 ... 그렇게 해서 카운터를 구성한 것이 위에서 두 번째 회로인데 이 회로는 Count-Up 회로에서 각 플립플롭의 클럭입력을 Q에서 받지 않고, 에서 받음으로써 Count-Down 의 기능을 ... 이러한 회로를 shift-register counter라 부른다.
    리포트 | 5페이지 | 2,000원 | 등록일 2012.03.11
  • [논실]예비8, 200820126, 안효중, 4조
    발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2011.11.2과목명: 논리회로실험교수명 ... 회로도를 보고 회로를 구성한 후 입력으로 BCD 값을 넣어주면 7-segment를 통해 그에 따른 10진 값으로 변환되어 출력된다. ... 회로도를 보고 회로를 구성한 뒤 2번 3번 핀을 GND로 연결하고 클럭을 인가해주면 0~9까지의 출력값을 얻을 수 있다.SN7447A을 이용해 7-segment로 표시하는 BCD 카운터를
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • [논실]예비6, 200820126, 안효중, 4조
    발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2011.10.12과목명: 논리회로실험교수명 ... 실험 2와 같은 내용의 회로이기 때문에 같은 결과가 나오는 지를 확인해 본다.④ J-K F/F (Gate 이용)→ 74HC10과 74HC00을 이용해 J-K F/F를 구성해 본다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.02.29
  • 실험 8. RAM (Random Access Memory)
    RAM은 대기모드에서 소모 전력이 아주 작으므로 백업용 배터리로 데이터의 보존이 가능하다. ... 그림 2에는 IC RAM의 논리 구조가 나타나 있는데 이 RAM은 한 워어드가 3비트인 4개의 워어드로 구성되어 있으므로 전체는 12개의 2진 소자로 구성된 셈이다. ... SRAM은 Flip-Flop으로 구성되며 DRAM보다 사용하기 쉽고, 정보를 읽고(reading), 쓰는데(writing) 걸리는 시간이 짧은 반면, 높은 집적(대용량 메모리)을 이룰
    리포트 | 4페이지 | 2,000원 | 등록일 2012.03.11
  • 실험 9. DA & AD Converter(DAC & ADC)
    반대로 스위치에 0V전압을 걸었을 경우에는 스위치가 OFF 된 것이라 하며 디지털로는 “0”이라 해석한다.3) Null summing point에 대해서 설명하시오.Null Summing ... 설계하시오.A/D converterring counter와 storage resister를 사용한 회로 설계위의 회로 구성은 Dual-slope integrating A/D converter로써 ... 회로가 단순하지만 변환시간이 길고 입력 신호의 크기에 따라 달라지는 단점을 가진다.
    리포트 | 4페이지 | 2,000원 | 등록일 2012.03.11
  • 결과보고서 실험 3. 가산기와 감산기 (Adder & Subtractor)
    반감산기는 2개의 한자리 2진수 A,B를 감산할 경우의 논리회로를 말하며, 출력변수들은 차(D:difference)와 빌림수(B:borrow)로 나타낸다. ... 전감산기는 뒷단의 위치에 빌려준 1을 고려하며 두 비트의 뺄셈을 수행하는 논리회로로서, 림수(borrow) 입력을 취급하기 위해 변수 A,B에 추가로 B의 입력이 한 개 필요함을 공부하였는데 ... 시뮬레이션 결과와 일치한다.(3) 7486, 7400을 이용하여 반감산기를 구성하라.입력출력xyBD00000111100111007486, 7400을 이용하여 구성한 반감산기→ X, Y에 대해서
    리포트 | 4페이지 | 3,000원 | 등록일 2012.03.11
  • [논리회로]논리회로실험> 1. 트랜지스터 증폭기의 기본 구조와 특성
    전압 이득 Voltage Gain이고우리는 변수 ie를 구해야하는데 ie는에 대해서 저항 Re와 병렬관계이므로가 된다.이제 이것을 대입하면가 된다.이제 Av를 구하기 위해 소신호 등가 ... 1.6결과 보고서(1) 회로 1,2,3, 증폭기의 소신호 등가 회로를 구하여 전압이득, 전류 이득, 입력 저항, 출력 저항 등을 구하고, 실험 결과와 비교한다.회 로 (1). ... 그러나 위해서 언급했듯이 실험 오차는으로 구한 베이스 공통 증폭기의 매우 작은 전류이득을 보이고 비반전 증폭이라는 즉, 출력과 입력이 동상이라는 것을 알 수 있었다.회로 (3).
    리포트 | 15페이지 | 1,000원 | 등록일 2006.02.06
  • 대우증권 (대졸신입) 합격 자소서입니다.
    경험을 적절하게 사용 할 수 있는 기술이 중요합니다. 2008년 겨울, 아주대학교를 대표해, 해외 인턴으로 호주에서 HMCA (Hyundai Motor Company Australia ... 전공 공부 중 논리회로설계 프로젝트에서 VHDL을 사용한 전자시계 반도체를 설계, 소프트웨어개론 프로젝트에서는 C language과 자료구조를 사용한 미로 찾기 프로그램, 전자회로 ... 그래서 더 많은 도움을 주고 싶지만 학교 수업 때문에 GOAL에서 오는 통역 요청을 거절해야 하는 경우도 많았습니다.한 번은 수업이 없는 날 대구까지 내려가서 통역 봉사를 했습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2012.09.17
  • 실험 7. 복호기와 부호기(Decoder & Encoder)
    (2) 그림 9에서 4 또는 5, 혹은 4, 5 모두를 디코딩하기 위한 그림을 그려라.4를 디코딩하기 위한 회로5를 디코딩하기 위한 회로4와 5를 디코딩하기 위한 회로(3) 그림 7이 ... CountEncoded output0010000101001000101100012단 2진 카운터 회로주어진 코드로 인코딩 할 수 있는 회로< 참고문헌 >- DigitalDesign, ... 디코딩하기 위한 logic diagram을 그려라(디코딩된 출력은 logic '1'이 되게 한다).3-stage binary counter3단 2진 카운터5와 6을 디코딩하기 위한 회로
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대