• 통큰쿠폰이벤트-통합
  • 통합검색(522)
  • 리포트(489)
  • 자기소개서(30)
  • 시험자료(2)
  • 논문(1)

"VHDL code" 검색결과 501-520 / 522건

  • 논리회로 설계실험 농구전광판
    )농구 전광판에 들어갈 기능은 타이머 기능, 점수의 감 가산 기능 등이 들어간다.3)전광판의 결과물은 LCD와 SEVEN_SEGMENT에 출력 할 수 있다.4)한 학기 동안 배운 VHDL을 ... 전의 소스코드보다 간단해 진다는 것을 알 수 있었다.ㄹ)BASKETBALL_DATA entityentity BASKET_DATA isPort ( FPGA_RSTB : in STD_입력switch ... 공급과 트 p22, p24, p26, p27, p28, p29--세그먼트 포트들 p31,p33, p34, p35, p36, p37, p39, p48SEG_A, SEG_B, SEG_C,
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • 자판기(vending machine) VHDL
    이때 key는 임의 순간에 오직 1개의 key 입력만 받는다고 가정한다.1.2 VHDL 코드 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all ... , milk, juice의 출력을 발생시키는 문장은 병행처리문으로 구성하여 coffee_mode에서 coffee='1', milk_mode에서 milk='1', juice_mode에서 ... 커피, 우유, 주스, 500원, 100원coffee : out std_logic;--커피milk : out std_logic;--우유juice: out std_logic;--주스count_dec
    리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • [전자공학] Modelsim & Synplify & ISE를 이용한 VHDL 시뮬레이션
    합성 및 시뮬레이션 할 VHDL 코드를 작성한다.2. test_vector를 작성한다. ... Function 시뮬레이션저장해 놓은 VHDL 코드를 하위 모듈부터 컴파일을 시킴니다. ... 합성할 코드 추가.왼쪽 메뉴에서 Add File 선택그림과 같이 설계한 모든 파일을선택하고
    리포트 | 39페이지 | 1,000원 | 등록일 2003.11.25
  • lg히타치최종지원서
    Top Secret'3학년 프로젝트는 VHDL을 이용하여 Spartan Board의 LCD에 시계를 구현하는 것이었습니다. ... 한 달의 노력으로 어렵사리 코드를 완성할 수 있었습니다. 그런데 5개의 팀 중 저희 팀만 유일하게 LCD 출력 비밀을 알고 있었기에. ... 또한, ABEEK 공학인증프로그램에 참여하여 캡스톤, 소프트웨어시스템, 창의공학 설계 등, 설계 과목에서 C, C++, Code vision 등의 프로그램, 하드웨어를 접목해가며 창의적이고
    자기소개서 | 4페이지 | 3,000원 | 등록일 2011.04.04
  • 7segment
    ▶BCD카운터BCD 카운터는 10개의 BCD 코드값(0000, 0001, 0010, ... , 1000, 1001)을 상태값으로 가지는 카운터를 말하며, 십진 카운터(decade counter ... 교수님학 과 : 컴퓨터정보공학과이 름 : 2006122148 송정호제출일 : 2008. 05. 19.디지털논리- 7 Segment -/Problem. 7-Segment■ 문제 개요VHDL을 ... , cnt1d : integer range 0 to 9;BEGINcnt_0d : PROCESS(CL, RST)BEGINIF RST = '1' THEN cnt0d
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • [asic] vhdl을 이용한 시계 설계
    VHDL을 이용한 디지털 시계 설계4) 현재는 달과 일을 각각 설정하게 되어 있다. 여기서 일을 증가시켜서 월이 설정되도록 설계하여라.먼저, 수정 이전상태의 VHDL소스를 보자. ... MON_28 : std_logic_vector (4 downto 0) := "11100";constant MON_30 : std_logic_vector (4 downto 0) := ... "11110";constant MON_31 : std_logic_vector (4 downto 0) := "11111";beginSET_GEN : process (INCREASE,
    리포트 | 8페이지 | 1,000원 | 등록일 2004.05.03
  • [디지털 시스템 설계] 코드변환기 (BCD-> gray, BCD-> excess-3 code)
    - Excess-3 code(3초과 코드) : BCD코드에 3을 더해서 만든 code이다. - Gray code : 인접한 수가 한 비트만 변하여 만들어진 코드이다. ... 위에서 각각의 코드에 대해 설명해 놓은 부분에서 알 수 있듯이, Excess-3 code는 BCD code로부터 3을 더한 코드이다. ... 한편 Gray code는 BCD code로부터 만들어진 코드가 아니고 네 자리중 한자리씩만 변한 code이므로 각 code의 상호관계를 쉽게 알 수 없다.
    리포트 | 8페이지 | 1,000원 | 등록일 2004.12.18
  • [디지털설계] VHDL로 구현한 vending Machine(자동판매기)
    Source code○ appendix B. Total block diagram○ appendix C. ... {VHDL Term Project Vending Machine━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━{{VHDL Term Project Vending ... 설계 수업을 통하여 익힌 내용을 바탕으로 Vending Machine을 Altera MAX+를 이용하여 VHDL 언어로 코딩하고 Training Board로 구현하는 것을 그 목적으로
    리포트 | 26페이지 | 3,000원 | 등록일 2003.12.12
  • VHDL을 사용하여 32비트 MIPS 프로세서를 설계
    목적 및 개선사항이번 프로젝트의 목적은 VHDL을 통하여 MIPS를 설계하는 동시에 기능의 추가 및 최적화에 그 목적이 있습니다. ... immediate101100sub immediate000100branch equal100011load word101011store word000010jump- op(31:26) 코드에 ... SignExtend.vhdSignExtend.vhd 는 ALUSrcB 신호가 10이나 11일 때, 즉, immediate 명령일 때, instuction의 부호를 확장 시키는데 쓰인다.-- 소스 코드
    리포트 | 64페이지 | 2,000원 | 등록일 2007.01.19
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    ABEL_HDL은 VHDL보다 낮은 수준의 기술 언어라고 보면 된al Clock 입출력 단자의 정의Digital Clock을 동작할 수 있게 하는 입력은 clk, mode, clr_bt ... 연구를 하면서 VHDL의 특징 및 문법 하드웨어설계의 c;d_inc : in std_logic;d_mov : in std_logic;d_set : in std_logic;to_date ... 입 출력단자의 정의]입력단자출력단자(7-segment)clk : 클럭 입력(1 bit, rising edge)mode : 모드 변환 입력 버튼(1 bit)clr_bt : 세팅시 클리어
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • [마이크로프로세스] 로봇 ARM
    [그림2-10]은 루프운동 Rom의 VHDL 코드이다.{[그림2-10]루프운동 Rom의 VHDL 코드Ⅲ.結 論로봇 ARM은 메카트로닉스 공학의 의미를 가진다. ... [그림2-8]과 [그림2-9]는 각각 모터신호 발생기의 VHDL코드와 출력파형을 시뮬레이션 한 결과이다. ... {[그림2-6]시간 기억 Ram의 회로도{[그림2-7]로봇 관절 제어 회로(c).관절용 모터 드라이버{[그림2-8]PWM 신호발생기의 VHDL CODE로봇의 Arm 부분의 4관절을
    리포트 | 18페이지 | 2,500원 | 등록일 2002.09.24
  • [전기전자전파공학전공]VHDL으로 디지털 시계 만들기 - 카운터
    설계 결과 및 검증① VHDL 소스로부터 나온 타이밍도 - 별첨 ... 정보통신공학부프로그램 명전파공학 프로그램성 명김영훈학 번20021100교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만 (교수님)제 목Digital ... 서론- 설계 목적① Digital clock의 동작 원리를 이해한다.▷ 디지털 시계 개요주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의
    리포트 | 11페이지 | 1,000원 | 등록일 2005.12.10
  • [vhdl] VHDL 계산기2
    VHDL Calculating Machine1.키입력처리키입력처리1library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all ... if (clk='1' and clk'event) then if key_0='1' then data =0; key_event ='1'; count = count+1; elsif key ... = 1) then x1 =data; elsif (count = 2) then x2 =data; elsif (count = 3) then x3 =data; elsif (count =
    리포트 | 5페이지 | 1,500원 | 등록일 2003.09.17
  • [자기소개서] 영문자기소개서(전자공학)
    Through the online project, the design of digital clock, I could learn about the basic of VHDL coding ... System Shutdown, Alarm Clock, MP3 player with using API function with Visual Basic and DES algorism coding ... my school.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2003.10.21
  • [OFDM]FPGA를 이용한 OFDM 모뎀 구현
    각 픽셀은 unsigned char 형태로 저장되어 전송된다. ... 학습Xilinx ML310 Development board 학습Ethernet MAC 합성 및 ImplementationOFDM 이론 학습OFDM 알고리즘 MATLAB 시뮬레이션VHDL ... OFDM Client에서 송신데이터를 입력하고 보내기 버튼을 누르자 LED가 깜빡거리는 것을 확인할 수 있었다. 1개의 알파벳을 보내자 LED에 그 알파벳의 아스키코드가 점등되는 것을
    리포트 | 81페이지 | 10,000원 | 등록일 2005.12.18
  • LS산전 합격 자기소개서 (2008년 하반기)
    코드 레벨에서 제어기를 설계할 수 있도록 하기 위해 LUT(Look-Up Table) 구조에서 벗어나 효율적인 비선형 함수 근사화 알고리즘을 개발하여 적용하였습니다. 6자유도 매니퓰레이터의 ... 이용하여 로봇에 응용을, 3차 년도에는 개발된 기반 기술을 이용하여 지능 제어 기자재를 개발하는 것을 목표로 합니다.저는 이 프로젝트에서 신경회로망 연산이 가능하도록 하는 신경망 칩을 VHDL
    자기소개서 | 4페이지 | 3,000원 | 등록일 2009.07.19
  • [디지털공학] VHDL을 이용한 계산기 설계
    HDL이전의 하드웨어 설계에서는 주로 레이아웃 편집기(layout editor)나 스키메틱 편집기(schematic editor)를 이용해 작은 블록을 설계하고 이것을 이용해 큰 블록을 ... VHDL을 이용한 CALCULATOR 설계Contents#1. Concept1. Object2. VHDL3. FPGA4. ... 아울러 VHDL의 기능을 개선하기 위한 노력이 기울어져 1993년에는 VHDL1076-1987에 대한 새로운 버전인 IEEE1076-1993을 내놓게 되었다.VHDL을 시뮬레이션하고
    리포트 | 51페이지 | 6,000원 | 등록일 2004.07.14
  • [디지탈 시스템]vhdl 디지탈시계
    ..PAGE:1디지털 시계 VHDL 코드인터넷 자료ㅋ ㅋ ㅋ..PAGE:2MODE_GENlibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all
    리포트 | 22페이지 | 1,000원 | 등록일 2002.07.03
  • [정보통신] 고속데이터 처리를 위한 DCT설계
    그중에서 변환코드에 대하여 가장 활발이 연구되어 지는데 변환 코드란 공간적 도메인을 주파수적 도메인으로 해석 한다는 것이다. ... 이를 토대로 하드웨어 설계언어인 VHDL언어를 이용하여 설계하고 FPGA칩인 XCV1000E에 다운로드 하여 칩 레벨의 시뮬레이션을 수행하여 설계된 DCT 모듈을 검증하였다. ... 높은 주파수 성분은 상대적으로 낮은 값을 갖게 됨으로서 대부분의 정보가 낮은 주파수 쪽으로 몰리게 되므로 양자화 과정을 적절히 거치면 높은 우수한 화질을 얻을 수 있다.위의 식에서 C(
    리포트 | 5페이지 | 1,500원 | 등록일 2004.10.15
  • [VHDL] 가산기
    참고로 Look-ahead Carry를 생성하는 기능을 갖는 TTL로는 74182 칩이 있다.가산기 VHDL Program(1) Ripple Carry Adder[source]LIBRARY ... BCD 코드는 0부터 9까지의 10진 숫자를 표현하기 위해 4비트를 사용하며, 0000부터 1001까지의 코드만 사용하고 1010부터 1111까지의 코드는 사용하지 않는다. ... 이제 이진병렬가산기의 출력을 BCD 코드로 변환해주는 회로를 설계해 보자. 아래 그림에 이진병렬가산기의 출력 값과 이에 대응되는 BCD 코드를 표 형태로 나타내었다.
    리포트 | 18페이지 | 3,000원 | 등록일 2003.08.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대