• 통큰쿠폰이벤트-통합
  • 통합검색(522)
  • 리포트(489)
  • 자기소개서(30)
  • 시험자료(2)
  • 논문(1)

"VHDL code" 검색결과 361-380 / 522건

  • VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    DEMUX를 이해하고 설계를 한다.2) MaterialsPersonal Computer, Xilinx ISE 9.2i program, Spartan-3 Board 1개, JTAG cable
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • FPGA의 이해와 기초
    이에 해당하는 VHDL 코드도 같이 보여준다. ... 개발자가 프로그램한 코드는 PROM에 MCS형식으로 저장된다.다음은 MCS 파일 형성을 위한 과정을 기술한다.Generate PROM, ACE, or JTAG FILE코딩 완료 후 ... "_Toc184482417" 그림 1 SCHEMATIC SOURCE PAGEREF _Toc184482417 \h 4 HYPERLINK \l "_Toc184482418" 그림 2 VHDL
    리포트 | 16페이지 | 2,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 아날로그신호와 디지털신호의 비교
    않는 가중치 없는 코드(unweighted code)이다11.아스키코드와 EBCDIC 코드* 아스키(ASCII)코드 (American Standard Code for Information ... coded Decimal Interchange Code)- IBM에서 개발한 8비트 코드로 256가지 정보 표현- 2개의 존과 디지트로 구성12.해밍코드컴퓨터 스스로 데이터 오류를 ... 2개의 값을 갖는 이진신호가 일반적인 형태의 디지털신호불연속적으로 변화하는 신호:2진 펄스 형태로 된 모든 데이터 장비의 신호예) 컴퓨터 단말기의 디지털 신호, 숫자 표시 시계등2.vhdl이란VHDL이란
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.07
  • 디지털시스템설계_Baseline구조의이해&RegisterFile설계
    이에대한 사항을 만족하는 블록을 VHDL로 설계한 코드는 다음과 같다.library ieee;use ieee.std_logic_1164.all;entity register_file ... 신호가 주어지게 될 때 Addr_B의 주소에 Data_in 을 저장하게 되고, Rst가 주어질 경우에는 모든 내부 플립플롭의 값은 0 이된다.이때 유의해야 할 점은 ( pseudo code에 ... 실험의 목적앞으로 설계하게 될 16비트 RISC 프로세서 설계에 앞서 간단한 레지스터 파일 블록을 설계하여 VHDL 을 이용해 검증하고, Test Bench를 만들어 simulation
    리포트 | 7페이지 | 1,500원 | 등록일 2008.02.19
  • VHDL Digital Watch(LCD) VHDL PPT입니다.
    VHDL source coding Emulation in BoardWork Plan(2/2)3주차(6. 2 ~ 6. 8) VHDL Coding for Additional Functionalities ... 사이트 정보 수집 Digital Watch 자료 수집(문헌 및 인터넷) 2주차(5.26 ~ 6. 1) LCD Interface source code 분석 Digital Watch ... 작성 : Additional Functionality - 날짜(년, 월, 일)표시 기능 - 자료수집 및 Source code 작성 : Additional Functionality
    리포트 | 14페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL을 이용한 Shift Register구현
    그 회로는 다음과같이 나타낼 수 있다.▶ Mux source code & Simulationlibrary ieee; use ieee.std_logic_1164.all;entity ys_mux ... VHDL실습 4주차 레포트Shift Register담당교수 :담당조교 :전자공학과▶Shift Register?
    리포트 | 7페이지 | 2,000원 | 등록일 2010.12.27
  • VHDL 설계과제 LINE TRACER
    않고 주행하여야 한다.특히 장애물을 인식하여 장애물을 피할 때, 라인을 이탈한 후 다시 라인을 찾는 동작과 정지 신호를 인식한 후 정지하였다가 다시 주행하는 동작이 라인트레이서의 코드 ... 거리센서는 트레이서 앞부분의 양쪽 끝에 2개가 장착되며 10cm내에 장애물이 접근할 경우 라인을 무시하여 회피하도록 한다. ... 기 말 프 로 젝 트 보 고 서- VHDL 설계과제 : LINE TRACER -1.
    리포트 | 6페이지 | 5,000원 | 등록일 2012.03.11
  • Counters.
    IntroductionCounter의 동작에 대해 알아보고 VHDL코드로 작성합니다. ... 여러 종류의 Counter(8-bit up counter with an asynchronous reset, 74LS193A counters) 에 대해서 알아보고 VHDL 로 구현해 ... 수를 세는 방법(up counter, down counter), 수를 세는 주기(이진 카운터, 십진 카운터) 등으로 나눌 수 있습니다.Asynchronous counters(비동기식
    리포트 | 13페이지 | 1,500원 | 등록일 2010.11.02
  • C 기반 ALU 설계. 컴퓨터구조 ALU 설계 과제
    C 언어의 기본 특징은 ASCII 코드 체계로 영문 소문자 집합을 바탕으로 하고 있으며 , 함수 (function) 의 정의문들의 집합으로 구성되어 있고 , 분할 컴파일을 할 수 있어 ... Master3 / 송태훈 / 홍릉과학 ( Altera Quartus 2 와 Modelsim 을 사용한 ) Verilog HDL 논리회로 설계 / 이승호 / 한티미디어 컴퓨터구조 설계 : VHDL ... C 란 ?설계 및 결과 프로그램 소스시뮬레이션 영상제한요소에 대한 고찰 A.
    리포트 | 33페이지 | 3,500원 | 등록일 2013.01.28
  • VHDL을 이용한 엘리베이터 설계
    테스트 벤치 또한 일반적인 VHDL 코드와 같은 구성을 가진다. ... [Project 2] VHDL을 이용한 엘리베이터 설계1. ... Architecture에서 신호를 정의할 수 있는데, 설계한 소스코드와 port mapping하기 위해 component를 선언한 다음, 입력신호를 정의하여 process에서 시뮬레이션
    리포트 | 6페이지 | 4,000원 | 등록일 2011.07.05 | 수정일 2014.10.22
  • 2-port OR gate
    표현방법은 일종의 netlist를 작성하는 형식이라고 할 수 있는 것으로, 회로도를 문장으로 나타낼 때 노드(node)와 노드 사이에 어떤 IC(Integrated Circuit) 소자(component ... 새로운 코드를 입력하도록 파일이 생산되는데, Begin과 End process 사이의 내용을 다 지우고, I1과 I2에 0과 1이 대입되는 경우의 수대로 작성을 한다. ... 그다음 생성된 파일에 architecture Dataflow of OR_gate is begin O = I1 or I2; End dataflow 라는 코드를 작성한다.3.
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.24
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use ... )beginif rstb = `0` thenclk_500 ... thenif cnt_clk = "111110011111" then
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • VHDL을 이용한 7세그먼트 실험보고서입니다.
    TMP_D
    리포트 | 12페이지 | 2,000원 | 등록일 2011.06.22
  • xilinx를 이용한 FSM설계
    기존에 3-state VHDL 코드가 나와있어서 4-state를 나타내는 데는 크게 무리가 없었다.5. ... . • 3-state Mealy 상태도의 VHDL Modeling Example을 참조하여 그림 과 같은 4-state Mealy 상태도를 VHDL로 설계한다. • 결과 Simulation ... Sequential circuit에는 clock의 rising edge에서 현재 상태의 변화와 reset여부를 결정하였다.
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트
    설계하는 부분에서 각자 맡은 기능을 구현하였고 코드를 합치는 부분은 모두 모여서 같이 진행을 하였습니다. ... 서론수업시간에 배운 VHDL언어를 사용하여 우리 주변에 쓰이는 단일 엘리베어터를 설계해본다. 정원초과, 응급버튼, 엘리베이터이동에 중점을 두고 엘리베이터를 구현2. ... 이번 프로젝트로 인해서 수업시간에 배운 내용들을 좀더 복습할수 있었고 나아가 VHDL이라는 언어에 대해서도 조금더 이해할 수 있었던 좋은 기회였던 것 같습니다.
    리포트 | 19페이지 | 5,000원 | 등록일 2011.12.17
  • 논리회로설계실험 메모리 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity ram ... , rd, wr, address, in_data)beginif ce = `0` thenif wr = `0` thenram_table( conv_integer(address) ) ... isport( ce, rd, wr : in std_logic;address : in std_logic_vector( 3 downto 0);out_data : out std_logic_vector
    리포트 | 3페이지 | 3,000원 | 등록일 2010.12.22
  • 논리회로, 디지털공학, 회로 설계
    데이터베이스 설계 도구이고 1988년 벤 코헨에 의해 설립되었다.제공하는 소자로는 74시리즈, 입출력 및 전원 관련 소자, 아날로그 소자, 디지털 게이트, 조합회로, 스파이스 회로, VHDL ... ③:Exclusive-OR 게이트 설계 및 구현⑸ 설계 ④:가산기와 감산기 설계 및 구현⑹ 설계 ⑤:인코더와 디코더 설계 및 구현⑺ 설계 ⑥:멀티플렉서와 디멀티플렉서⑻ 설계 ⑦:코드변환기⑼ ... 결과⑨ 7493 IC 진리표CPQDCPQD00811091201013011140121501316014170151⑩ 7493 IC 회로 ⑩ 7493 IC 결과⑩ 7493 IC 결과 C.
    리포트 | 61페이지 | 2,500원 | 등록일 2013.10.16
  • VHDL를 활용한 EE ATM 설계하기
    이 역시 VHDL 코드에서 활용 되었는데 먼저 수평으로 CLK를 분주하고 그 CLK을 다시 수직으로 분주하여 하나의 수평 CLK에 모든 수직값이 표시되도록 설정하였고 이 값에 RGB값을 ... 디지털 신호로 용하여 실제 액정 구동전압을 액정에 인가하는 역할을 하며, gate driver는 화소에 데이터 전압이 인가될 수 있도록 화소의 TFT를 ON 시켜주는 scan 신호를 ... 20091468) : main, step motor, tft lcd, input password 함수 구현, 최종 수정날짜내용1주차(11/12~11/18) - 전체적인 메인함수를 VHDL
    리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • 논리회로설계실험 프로젝트_digital door rock
    소스 코드 및 분석가. ... HDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all ... 순서로 변화된다면 감소형 카운터(down counter)라고 말한다.
    리포트 | 44페이지 | 4,000원 | 등록일 2012.03.20
  • FLIP-FLOP의 동작원리를 VHDL로 확인한 예비
    전자전기컴퓨터설계실험2Postlab ReportMUX & DEMUXIntroductionFlip-Flop의 종류, 동작 특성 등을 알아 보고 VHDL code로 구성하여 실제로 구현해 ... Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.Level trigger & edge trigger0과 ... toggle FF이라 한다.TCpQ0↑Q01↑반전serial-to-parallel register통신 회로에 있어서 serial to parallel과 parallel to serial converter는
    리포트 | 8페이지 | 1,500원 | 등록일 2010.04.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:47 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대