• 통큰쿠폰이벤트-통합
  • 통합검색(521)
  • 리포트(489)
  • 자기소개서(29)
  • 시험자료(2)
  • 논문(1)

"VHDL code" 검색결과 281-300 / 521건

  • VHDL에 관하여
    ,C,LISP와 같은 하이레벨 프로그래밍 언어를 사용하듯이, 하드웨어 설계에 있어서도전통적인 schematic capture방법 대신에 CAD TOOL상에서 VHDL과 같은 HDL을 ... 이용한 설계와 기존의 프로그래밍 언어를 이용한 소프트웨어 제작에 성한 VHDL 코드는 소프트웨어 적 측면에서 볼 때 프로그래밍 이후 컴파일 과정을 거치듯이 하드웨어적인 전처리 합성 ... 이에 대응하는 VHDL을 이용한 하드웨어 디자인에서는 이러한 게이트 레벨의 코드를 본 합성 과정을 거처 네트리스트(netlist)로 결과물을 만들고 이를 이용해 레이아웃에서 실제 구현
    리포트 | 8페이지 | 1,000원 | 등록일 2013.01.24
  • 논리회로실험 - 제 1장 기본 논리 게이트 (AND, OR, NOT) 결과 보고서
    XOR gate의 진리표와 기호는 다음과 같다.(2)어떻게 이 회로를 구성할 것인가1) VHDL 코드를 구성하는 기본 설정(1)기본 설정AND, OR, XOR 게이트를 한 architecture에서 ... 나머지 3개의 출력게이트가 어떻게 작동하는지 확인해본다.2) architecture 코드library ieee;use ieee.std_logic_1164.all;entity bagic_gate ... architecture을 설계할 때 architecture Behavioral of bagic_gate로 설계한다.다음으로 앞에 설계한 회로를 동작시키기 위해서 testbench 파일을 만들어서 코드
    리포트 | 8페이지 | 1,000원 | 등록일 2014.08.15
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    실험 목표VHDL 코드를 이용해 Decoder, Encoder 비교기를 설계하는 방법을 익힌다.두 비교기는 Behavioral 표현 방식과 Data Flow 표현 방식으로 설계 한다 ... 예를 들어 10진수나 8진수를 입력으로 받아들여 2진수나 BCD와 같은 코드로 변환해 주는 조합논리회로이다. ... 진리표입력출력A2A1A0D7D6D5D4D3D2D1D00000000000100100000010010000001000110000100010000010000101001000001100100000011110000000(2) 소스 코드동작적
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • VHDL-Post lab - Mux and DeMUX
    Design a 2x1 MUX- describe its input output signals- describe its functional behaviors- write VHDL codes ... Pre lab에서 내가 작성한 source code와 실험 시에 다른 사람이 해온 code를 비교해보면서 무엇이 더 간편한지 확인해 보도록 하자.6. ... ------또한 이번 실습을 통해 나의 소스 코드와 같은 조원의 코드를 비교해 가면서 어느 것이 효율적인지 확인 해 볼 수 있었으며, VHDL 코드 표현 방법도 여러 가지로 존재 한다는
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • Verilog HDL 문법 자료 (A+받은 자료 입니다)
    ECP에 있는 COMBO2-kit의 경우 cyclone2를 선택한 후 디바이스에서 EP2C35F672C8을 선택한다.QUARTUS 사용법VHDL이라고 밑줄 친 부분을 눌러 verilog로 ... 준다.QUARTUS 사용법코드를 작성 한다. ... Verilog HDLHDL : Hardware Description Language VHDL: VHSIC HDL VHSIC: Very High Speed IC IC : intergrated
    리포트 | 34페이지 | 3,000원 | 등록일 2015.06.22 | 수정일 2015.06.23
  • VHDL-Post lab - Decoder and Encoder!! (A+리포트 보장)
    이것을 모르고 실험 중에 Push button을 사용해 봤는데, 처음에 LED의 불이 들어오지 않길래, VHDL coding이 잘못 된 줄 알았다. ... 실험 후 알게 된 점.이번 실험을 통해서 한 층 더 VHDL coding 능력이 향상되었다는 것을 깨달았다.1> 진리표를 보고 Dataflow 작성하는 법을 익혔다.처음에는 Dataflow가 ... 즉 code가 구현은 되지만, 000 이기 때문에 LED에는 불이 들어 오지 않는 것이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2009.06.29
  • 아주대학교 논리회로 설계 과제 Key Pad 자물쇠
    A,B,C,D,E 이렇게 4비트 5개의 입력을 받아서 A 와 비밀번호 첫 자리가 맞는지 확인하고 맞다면 x 라는 시그날에 ‘1’, 아니면 ‘0’을 집어 넣도록 하고 B,C,D,E ... 문제 분석 & 설계 방향1) 비밀번호를 입력하여 문을 열어야 하므로 비밀번호 저장에 관하여 생각해 보았다. vhdl 책을 구하여 저장기능 리 있는 기억소자 회로에 대하여 조사해 보았으나 ... 않으면 “0”)비밀번호 오류 여부 (비밀번호 5개가 모두 맞으면 “0”, 한 개라도 틀린다면 “1”)이렇게 입력을 받아서 비밀번호 모두 맞아야만 문이 열리는 Key Pad 자물쇠 코드
    리포트 | 4페이지 | 1,500원 | 등록일 2013.11.28
  • BCD to EXCESS-3 CODE CONVERTER
    POST REPORTBCD to Excess-3 code converter자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/SEARCH ... 각 프로그램을 TIMING SIMULATION과 FUNTIONAL SIMULATION을 통해 비교해보도록 한다.Xilinx ISE Webpack의 기능▶ VHDL 코드 합성Xilinx ... ISE는 유저가 코딩한 VHDL이나 Verilog 또는 SCH를 Netlist로 만드는 과정을 수행하도록 지원한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • VHDL-Final Project Digital Clock 만들기 <- A+
    즉 한번의 switch 동작으로 값이 여러 번 변화되는 현상을 제거하고자 하는 것인데, 교수님께서 알려주신 C 소스코드의 원리를 이해하고 VHDL로 다시 coding 해 Debouncing ... 실험 목적지금까지 배운 Spartan b/d 를 구현 하기 위한 VHDL 코드를 이용한 Digital Clock code를 작성하고, Spartan b/d 에 Download 하여 ... 회로를 설계 한다.우선적으로 1조에서는 Debouncing code를 우선적으로 VHDL 코드로 작성해 보았다.이 Debouncing Code의 원리는 입력된 값을 일정 시간(짧은
    리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • 05 논리회로설계실험 결과보고서(조합회로)
    실험 목표비교기, MUX, DEMUX, ALU에 대해서 알아본다.VHDL 문법 중 function과 procedure를 이용하여 ALU를 설계해본다.2. ... 소스코드에서 볼 수 있듯이 함수 mux_sum을 이용하여 A+B동작을 수행하게 하였다. ... B감산011Y = A + 1A의 증가100Y = A and BAND101Y = A or BOR110Y = A xor BXOR111Y = not ANOT(1) 동작적 표현1) 소스 코드2
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • VHDL-Pre lab - Mux and DeMUX
    VHDL codes for 74LS138이번 Demux 74ls138 코드 역시 교안에 적힌 것과는 다르게 진리표를 사용하여 Dataflow 형태로 나타내었다. ... codes< with selct 구문 이용한 VHDL code>이번 실험에서 조교님이 교안에 나온 Dataflow model과 상이하게 짜는 것을 지시하셨다. ... 실험 목적MUX와 DEMUX에 대한 이해와 이를 이용한 다양한 VHDL coding에 대해 알아보도록 한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • Digital clock chip - SELECTOR & DIVIDER Blocks
    Purpose이번 실습에서는 digital clock chip 에 있어 사용되는 SELECTOR와 DIVIDER BLOCK을 VHDL로 설계한다.2. ... ---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code ... 이 두 개의 코드를 작성한 후 각각을 테스트 벤치 코드를 이용하여 시뮬레이션 해본 후 앞에서 했던 과제들의 코드를 가져와 DIGITAL CLOCK이라는 전체적인 코드를 시뮬레이션 해본다
    리포트 | 11페이지 | 1,500원 | 등록일 2011.06.06
  • 실험2 제07주 Lab05 Post Mux & DMux & BCD
    이어서 Lab 2에서는 1-bit 4:1 Multiplexer를 Behavioral Modeling으로 Verilog code를 작성하여 설계하였다. ... DiscussionLab 1에서는 2-bit 2:1 Multiplexer를 Behavioral Modeling으로 Verilog code를 작성하여 설계하였다. ... Conclusion이번 실험은 그 동안 배운 VHDL의 사용법을 숙달시키고 Combinational Circuit의 동작원리와 설계 방법을 익히는 심화된 실험이었다.
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • VHDL-Pre lab - FF and S-P conversion !! (A+리포트 보장)
    이와 같은 과정으로 Q(6) 신호는 Q(7) 신호로 전달이 이루어진다.5-3> write VHDL codes고생 끝에 만든 소스 코드이다. ... 아래 자료는 상승 에지 트리거로 작성 할 때와 하강 에지 트리거로 작성 할 때의 차이를 알기 위해서 첨부하였다.3-3> write VHDL codes위의 코드에서 보면 알 수 있듯이 ... VHDL code는 이상이 없는데 자꾸 Library 에러가 발생하면서 시뮬레이션 결과가 이상하게 나왔다.
    리포트 | 19페이지 | 2,500원 | 등록일 2009.06.29
  • VHDL을 활용한 도트매트릭스 문자 출력
    전력소모는 정적 방식에 비해서 훨씬 절감이 되지만, 소프트웨어적으로 제어를 하고 수정을 해야 되서 VHDL 의 지식이 부족한 사람들은 어려움을 느낀다. ... Starter Kit (대여)개발기간 : 2013. 10. 28(월) ~ 13. 12. 23(월)대여받은 VHDL 실습용 키트에 3군데 확장 커넥터 중에 한곳에 34핀 커넥터와 케이블을 ... 연결 시킨 후 미리 설계해둔 브레드보드와 도트 매트릭스 에 연결한다.쿼터스에서 키트를 연결하고 각각 핀을 설정해중후 작성해둔 소스코드와 컴파일을 한후 실행을 한다.8*8도트 매트릭스를
    리포트 | 14페이지 | 1,000원 | 등록일 2014.05.15 | 수정일 2014.05.21
  • [논리회로실험] 실험2. VHDL을 이용한 가산기설계1
    VHDL을 이용한가산기설계1담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.04.02.Introduction조합회로의 특징과 기본적인 VHDL ... 코드작성법을 이용하여 4bit 전가산기와 전감산기를 구현해본다.Design① Describe what your circuit does이번 회로는 '4bit 전가산기&전감산기'이다. ... 회로는 모드 입력에 따라 가산기가 될 수도 감산기가 될 수도 있다.그림 SEQ 그림 \* ARABIC 1 이번 실험에 쓰이는 회로② Describe how you solve주어진 코드
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.22
  • 논리회로실험 - 제 6장 VDHL의 순차회로 중 shift를 설계 결과보고서
    0.00000025 × 2000000 = 0.5초-Clk_d는 0.5초 동안 “L”이다가 다시 0.5초 동안은 “H”동작을 반복-1Hz의 클럭이 발생(2)어떻게 이 회로를 구성할 것인가1) VHDL ... 일단 KIT에 올리기 전에 코드를 알아보고 이를 작성해 보도록 하자. 세 가지 shift를 만들어야한다. 기본적으로 주어진 코드에서 우리가 부가적인 코드만 작성하면 된다. ... -다음 표에 따라서 reset버튼과 mode중 3개의 버튼으로 동작을 제어한다.(2)설계 과정우리가 설계해야 할 것은 세 가지 shift에 대한 코드를 작성하고 이 코드를 KIT에
    리포트 | 15페이지 | 1,000원 | 등록일 2014.08.15
  • vhdl을 이용한 무인 dvd방 운영 시스템 설계
    VHDL coding5. ... LogoVHDL coding(1) centerVHDL coding(1) centerwww.themegallery.comCompany LogoVHDL coding(2) managementwww.themegallery.comCompany ... LogoVHDL coding(2) managementwww.themegallery.comCompany LogoVHDL coding(3) roomwww.themegallery.comCompany
    리포트 | 14페이지 | 1,500원 | 등록일 2010.11.09
  • [토끼] BCD to EX-3 code converter (combinational circuit)
    1.TitleBCD to EX-3 code converter (combinational circuit)2.Name3.Abstract본 실습에서는 BCD 를 Excess-3 code로 ... 0101 이고, EX-3 code 는 1000 (5 + 3 = 8)이다.input : 4-bit BCD → A, B, C, Doutput : 4-bit EX-3 code → W, ... 입력에 스위치를 통하여 BCD 를 인가하고 출력에 LED 를 연결하여 EX-3 code 를 확인 한다.4.Background1. specificationdecimal 5에 대한 BCD는
    리포트 | 7페이지 | 5,000원 | 등록일 2011.04.10 | 수정일 2020.07.16
  • [논리회로실험] 실험6. ALU kit
    코드는 아래와 같다.led SGE2_COM3 ... 그리고 reset을 의미하는 rst도 input으로 선언한다. clock을 의미하는 clk도 input으로 선언한다. ... 여기서는 그대로 원상태 유지하는 것, 그리고 좌우로 이동하는 것을 의미한다.이번에는 VHDL로 설계하는 것이 끝이 아니라 실제 Kit에 옮기는 작업이 필요하다.
    리포트 | 25페이지 | 2,000원 | 등록일 2014.03.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대