• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(540)
  • 리포트(508)
  • 자기소개서(29)
  • 시험자료(2)
  • 논문(1)

"VHDL code" 검색결과 201-220 / 540건

  • 디지털공학실험 07. 직렬덧셈기 결과
    수업자료의 직렬가산기의 Operation과 그를 통한 상태표와 상태그래프를 통해서 VHDL 모듈 코드를 작성했다. ... [회로][VHDL Module Code]x를 4비트로 잡고 0101 이라는 초기값을 저장하였다.y를 4비트로 잡고 0110 이라는 초기값을 저장하였다.ci는 0이라고 설정하였다.xout ... (여기서도 수업자료와 다르게 stimulus process를 clk과 st( =start) 2개로 나누어 작성했다.)코드를 작성하고, 시뮬레이션 해봄으로써 약간의 오류는 있었지만,
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29
  • VHDL로 구현한 inertial delay, Propagation delay
    (b) transport delay 2ns인 inverter를 vhdl로 설계하고, 다음의 입력파형에 대한 출력을 확인한다.2) VHDL code 및 simulation 결과? ... inertial delay code simulation 결과entity delay ISport(input :in bit; %입력, 출력 변수 지정 'input', 'output'output ... VHDL로 구현한 inertial delay, Propagation delay1)목표(a) initial delay 2ns인 inverter를 vhdl로 설계하고, 다음의 입력파형에
    리포트 | 2페이지 | 1,000원 | 등록일 2009.03.25 | 수정일 2019.04.12
  • 논리회로설계실습-FSM-예비보고서
    이 후 무어 머신을 사용하여 커피 자판기 작동을 VHDL코드를 작성해 본 후 테스트 벤치 코드를 사용하여 소스코드가 정상적으로 작동하는지 확인해 본다.예비 이론FSM (Finite ... 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다. ... docId=824356&cid=50376&categoryId=50376>‘채터링 방지 회로’, Retrieved May 19, 2017, from< http://hiems-nguis.tistory.com
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • [논리회로과제]해밍코드 4 Input 7 Output ENCODER,DECODER 만들기
    4비트의 입력을 받아 7비트의 해밍코드를 출력하는 인코더를 만든다.A,B,C,D는 정보비트, H1에서 H7는 해밍코드이다. ... 왼쪽 그림의 entity와 entity안의 architecture을 구성하여 해밍코드가 출력되도록 하는 vhdl을 구성하고 testbench file로 simulation하여 입력값과 ... 출력값을 비교한다.이를 통하여 수업시간에 배운 vhdl을 응용해보고 이해하는 것이 이번 과제의 목적이다.
    리포트 | 15페이지 | 4,000원 | 등록일 2013.01.21
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    위의 source code에서는 임의로 1과 0을 넣어주었지만, 변수가 구조체로 선언되어있거나 복잡할 경우 가시성이 떨어진다. ... 따라서 clock impulse를 나타내는 enable 변수와 flag 변수를 같이 쓰는 것보다 flag 역할을 하는 변수를 하나만 사용하여 programming 하는 것이 코드 간소화 ... Toggle에 관한 함수를 만들어서 사용한다면, 가시성이 뛰어난 코드를 작성할 수 있을 것이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • VHDL이란?
    복잡한 제어 논리를 간결한 코드로 기술 할 수 있는 강력한 언어구조를 가지고 있다. ... VHDL에서는 constant(상수), signal(신호), variable(변수) 세 가지가 있다. ... 또한 component를 선언한 후 component가 실제로 어.
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • 서강대학교 디지털논리회로실험 3주차결과
    code마찬가지로 VHDL code로도 동일한 Logic을 표현할 수 있다. ... 얻어낸 Logic equation을 토대로 Schematic을 그리고 VHDL code로 작성하여 Kit에 Load시켜 원하는 결과를 얻어내었다. ... VHDL code는 entity와 architecture 부분으로 나뉘어지는데, entity에서 Input, Output pin을 선언해주고 architecture에서는 그에 따른
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 결과레포트
    저항값을 같지 않았기에 측정한 실험마다 모두 다른 결과 값을 갖게 되었다.- 참고문헌 -디지털 논리실험 본 교재 제 8장http://www.engineerclub.in/2014/04/vhdl-code-for-bcd-adder.html ... 따라서 사용하지 않는 1010~1111 까지의 코드는 BCD가산기를 사용해야하기 때문에 +6(0110)을 더해준다. 그러한 과정에서 자리올림C4가 발생한다. ... 예를 들어2+4=0010+0100=0110 이며, C4=0이다. 8+6=1000+0110=14=1110이지만, BCD가산기에선 사용하지 않는 코드이므로 +6을 하여 10100 으로
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • [토끼] BCD to EX-3 code converter (sequential circuit)
    1.TitleBCD to EX-3 code converter (sequential circuit)2.Name3.AbstractBCD-EX3 Final Term Project본 실습에서는 ... BCD 를 Excess-3 code로 변환하는 순차회로를 설계하는 실습으로, 하드웨어를 HDL 로 기술하여 simulation 으로 검증하고 FPGA에 구현한다. ... IEEE.STD_LOGIC_1164.ALL;USE ARITHMETIC.STD_LOGIC_ARITH.ALL; -- 라이브러리 설정 및 사용하는 것들ENTITY a IsPORT(x,clk
    리포트 | 7페이지 | 5,000원 | 등록일 2011.04.10 | 수정일 2020.07.10
  • VHDL실습 메모리(ROM,RAM)설계
    signal : ① 할당은 ‘ 코드전체에서 사용가능하다.④ 순차코드 내부에서 사용될 때는 값의 갱신이 즉각적으로 이루어지지 않고 process, procedure, function이 ... VHDL 레포트1.서론 - (1) signal vs variable(2) ROM vs RAM2.실습내용 - (1) signal(2) variable(3) ROM(4) RAM1.서론( ... 지역적 정보를 표현한다. ③ 지역적(local)이다. -> 해당 process, function, procedure 내부에서만 사용가능하다.④ 값의 갱신이 바로바로 이루어진다.⑤ 순차코드
    리포트 | 10페이지 | 2,000원 | 등록일 2019.04.20
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    또한 VHDL로 작성된 코드를 RoV-Lab3000을 사용하여 직접 하드웨어로 구현해 보며 사용법과 주의 사항에 대하여 완벽히 숙지하였다. ... 실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... 자세한 사항은 이후의 소스코드 동작에서 설명하도록 하겠다.2) 적외선 바닥감지 센서 코드 (CLK → Sen_in 입력 설정) 적외선 바닥 감지 센서 코드설계할 라인트레이서는 감지한
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 논리회로 프로젝트 보고서
    설계결과 및 분석- Dataflow 방식으로 VHDL 코드 작성6. ... 설계한 code에서는 구체적으로 M0, M1에 해당한다. ... 역할을 하는 파트를 살펴보면 multiplier입력에 해당하는 B입력의 최하위 비트 옆에 ‘0’을 붙여줌으로써 5bit로 확장한 후 3bit씩 overlapping하여 잘라주는 code이다
    리포트 | 14페이지 | 3,000원 | 등록일 2012.12.23 | 수정일 2013.11.25
  • VHDL-Post lab - Decoder and Encoder!! (A+리포트 보장)
    이것을 모르고 실험 중에 Push button을 사용해 봤는데, 처음에 LED의 불이 들어오지 않길래, VHDL coding이 잘못 된 줄 알았다. ... 실험 후 알게 된 점.이번 실험을 통해서 한 층 더 VHDL coding 능력이 향상되었다는 것을 깨달았다.1> 진리표를 보고 Dataflow 작성하는 법을 익혔다.처음에는 Dataflow가 ... 즉 code가 구현은 되지만, 000 이기 때문에 LED에는 불이 들어 오지 않는 것이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2009.06.29
  • 디지털회로 결과 보고서[부울대수(Karnaugh Map]
    Graphic editor에서의 모습으로 회로를 구성하는 데에서 한계를 느껴 VHDL 텍스트 코딩을 확인하며 실제 회로를 구 성하였다. ... converter회로를 구성하고 출력을 확인하시오.BCD 코드Excess-3코드D _{3}D _{2}D _{1}D _{0}E _{3}E _{2}E _{1}E _{0}0******** ... Excess-3 코드로 변환하는 실험이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.06.12 | 수정일 2018.11.29
  • VHDL실습 VGA Pattern Generator
    VHDL 및 실습1.서론(1) 빛의 3원색 색의 3원색과 빛의 3원색은 위의 그림과 같다. ... pclk를 사용하여 픽셀수를 H_cnt와 V_cnt로 나눠서 카운트한다.56번째 줄~63번째 줄? VGA_HS와 VGA_VS를 카운트한 픽셀수를 이용해 클럭을 만든다. ... 3색 세로줄과 마찬가지로 코드를 짜준다.58번째 줄~71번째 줄? 내 이름의 첫 글자를 표현하기 위해 픽셀단위로 계산해 코드를 적어주었다.73번째 줄~85번째 줄?
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • HDL설계과제
    -VHDL 코드-entity hw1 is Port ( a : in STD_LOGIC_VECTOR (3 downto 0); b : in STD_LOGIC_VECTOR ... 코드를 보이고, 테스 트벤치와 시뮬레이션 결과를 보이시오. ... 입력A,B가 모두 4 비트, sel 입력이 2비트일 때 case 문을 써서 sel 입력이 0이면 AND, 1이면 OR, 2면 XOR, 3이면 XNOR 연산을 수행하는 VHDL
    리포트 | 2페이지 | 1,500원 | 등록일 2010.06.24
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use ... )beginif rstb = `0` thenclk_500 ... thenif cnt_clk = "111110011111" then
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • VHDL-Pre lab - Mbit 가산기와비교기 !! (A+리포트 보장)
    VHDL coding을 해보도록 한다.3. ... 좀더 간단하고, 쉽고, 짧은 coding을 위해서는 좀 더 많은 VHDL 언어를 사용해야 한다. ... 원리는 간단하니까, 그렇다면 우선 1bit adder 부터 시작해 4bit adder / 8bit adder를 coding 해보자.– make VHDL codes1 bit adder
    리포트 | 17페이지 | 2,500원 | 등록일 2009.06.29
  • 논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
    이번 주에는 저번 주에 설계했던 binary code와 gray code를 참고하여 어떤 특정한 문자열이 나왔을 때 출력을 하는 회로를 상태도와 상태표를 그려서 설계해보고 이를 코드로 ... 동기 작동한다.4)DIP Switch를 통한 mode 선택-1 bit 입력이 필요하기 때문에 DIP_SW을 mode선택 핀으로 사용한다.(2)어떻게 이 회로를 구성할 것인가1) VHDL ... 코드를 구성하는 기본 설정(1)설계 사항-유한 문자열 인식기를 설계한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 서강대학교 디지털회로설계 설계1 16bit CLA
    test bench code를 작성한다. ③ Test bench code는 Modelsim을 사용해 시뮬레이션을 수행한다. ④ 출력이 두 입력의 합과 같은지 확인한다. ... (경제요건) ② 구현 가능한 프로그램 코드와 알고리즘을 사용한다. ... 또한 VHDL을 사용해 덧셈기를 설계함으로써 VHDL의 코딩 방법을 익히고 동작 확인 과정을 통해 시뮬레이션 툴의 사용법을 익힌다.3.
    리포트 | 13페이지 | 2,500원 | 등록일 2013.04.12 | 수정일 2014.01.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 06일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대