• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(97)
  • 리포트(78)
  • 시험자료(9)
  • 자기소개서(7)
  • 방송통신대(2)
  • 논문(1)

"디지털 시계 소스코드" 검색결과 41-60 / 97건

  • 설계과제1 BCD 가산기
    이들을 패키지 내 선언을 통하여 메인 소스코드에 적용하여 BCD 가산기를 설계하였다. ... 실험 내용- 실험 1. 8비트 BCD Adder와 BCD to 7 segment Decoder를 각각 모듈화하여 BCD 가산기를 작성하시오.(1) 소스코드1) Package 선언부2 ... 같이 선분이 배치되어 있다. 7개의 획은 각각 꺼지거나 켜질 수 있으며 이를 통해 아라비아 숫자를 표시 할 수 있다. 7 segment 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
  • 논리 설계 및 실험, 부산대학교, 논리 설계 텀프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    이 프로그램은 부산대학교 논리설계및 실험 과목 텀프로젝트 디지털 시계 소스코드입니다.본 프로그램에는 PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상)이 ... 포함되어있고,디지털 시계의 모든 모듈이 저장되어있습니다.분주회로, 카운터, MUX, DEMUX, 7세그먼트 디코더, 세계시간, 스톱워치, 타이머, 레지스터 등등이 모두 모듈로 저장되어있고 ... , 해당 모듈은 각기 사용가능한 형태입니다.최종 프로그램 소스는 board_on.vhd로 해당 파일만 알테라에 올리면 모든 기능 정상 동작합니다.또한 프로그램 레포트에 모든 모듈의
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
  • 마이크로프로세서 프로젝트 report (8051컨트롤러를 이용한 디지털시계)
    소스코드 & 알고리즘 분석소스코드는 맨 뒤쪽의 하나의 main함수와 다른 여러 함수들로 구성 되어있다. ... CLOCK : 초 단위 표시의 전자시계12시간 초 단위 표시 시계로 알람기능이 있는 디지털 전자 시계이다.오른쪽 하단의 스위치로 값을 조정해 줄 수 있다. ... 이를 이용하여 디지털시계장치, 외부에 일정 간격의 인터럽트 발생 및 지속적인 동작 수행이 가능하다.
    리포트 | 26페이지 | 1,500원 | 등록일 2016.02.15 | 수정일 2016.06.02
  • <<AVR EEPROM 데이터 쓰기와 읽기 및 활용>>EEPROM사용법,EEPROM 데이터 읽고 쓰기,EEPROM테스트,소스코드,사용법,회로도,EEPROM문자열 저장,ATmega128
    ['[AVR EEPROM 데이터 쓰기와 읽기 및 활용] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 예를 들어, 디지털 시계를 만들어 시간을 임의의 시간을 저장하거나, 전원이 꺼지기 전의 데이터를 기억해야 할 제품을 만들 때에 EEPROM에 데이터를 저장하면 전원이 꺼진 후에도 데이터를
    리포트 | 16페이지 | 4,000원 | 등록일 2015.11.12 | 수정일 2017.04.20
  • 플랫폼 platform 경영전략
    를 무료로 공개 ⇒ 외부개발자들의 개발에 도움 ◦ 상당 수의 어플 자발적 등록 ⇒ 많은 사용자를 확보 ⇒ 더 많은 개발자들을 만드는 계기가 됨 ◦ 소셜 네트워크 서비스 ◦ 자사의 소스코드 ... 핀 테크 시스템 “ 이용 ⇒ 다양한 분야 앱 공개 ⇒ 중국 IT 장악 ◦ 대표 전자상거래 기업 ◦ 전자상거래 사이트를 플랫폼화 ⇒ 수많은 공급자와 소비자를 연결 ◦ B2B, B2C, ... Sourcing 사례2.7 융합 2.7 융합 사례 ① 스마트폰 ② 사물 인터넷 수많은 기능 ( 전화 , 카메라 , TV, 인터넷 ) 결합의 산물 일상의 하드웨어 ( 냉장고 ,TV, 시계
    리포트 | 28페이지 | 2,500원 | 등록일 2018.01.21
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部金 應 ... 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部金 應 ... 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)指導敎授 : 宋 仁 彩이 論文을 學士學位 論文으로제출함2007
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • 전자회로 실험 및 설계1(기말 프로젝트)
    전압의 세기가 아닌 Delay시간으로 할 수 있다는 사실을 통해 생활에 접목할 수 있을까 하는 아이디어에서 시작하였으므로 충분히 가치 있는 자유주제 였다고 생각합니다.3)-(a) 소스코드 ... 것입니다.이 사실을 검사 당일 날 알았는데 지금 생각해보아도 별로 어렵지 않은 코딩이라고 생각됩니다.디지털 시계는 하나의 변수를 받아 이 변수를 각각 시간, 분, 초로 표현 할 수 ... Report기말 프로젝트Code (코드 기술)1) (조건 4 - 새로운 기능)에 대한 내용한 학 기동안 많은 실험을 통해 LED, 7 SEGMENT 그리고 스위치를 제어하였습니다.그런데
    리포트 | 10페이지 | 1,500원 | 등록일 2013.11.25
  • 실험4 프로젝트 보고서
    프로젝트 목표이 프로젝트인 8051 디지털시계는 J1, J2 는 아래 4가지 동작 모드 각 작동하는 디지털시계이다. ... 다만 회로도에서 6핀짜리 소켓의 쓰임새가 궁금했는데 디지털 시계상에서는 역할이 없는 것 같다. 나의 예상으로는 칩을 굽는 역할이 아닐까 싶다. ... 소스 해석------------------------------------ 13-23ⅴ. 동작원리------------------------------------- 24-25ⅵ.
    리포트 | 26페이지 | 2,500원 | 등록일 2015.12.25
  • 실험4 프로젝트 보고서 ( 소스해석 등등) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    프로젝트 목표 : 주어진 회로도와 소스 코드를 이해하고 이용하여 8051마이크로프로세서로 동작하는 디지털 시계를 제작하고 동작원리를 파악한다.2. ... 즉 desk값은 인터럽트에서 변화된 counter변수 값이며, 음수인가 양수인가를 확인하여 절대값으로 바꾸어TH, TL등의 값을 다시 설정하도록 소스코드를 디자인해야 하지만, 모드2는 ... 초시계 함수 부분 또한 별다).
    리포트 | 19페이지 | 3,000원 | 등록일 2014.12.14
  • VHDL을 이용한 디지털시계
    각각의 모드구성에 대한 소스코드와 설명ⓐ MODE_GEN: 모드1과 2의 신호를 만들어 낸다.(모드의 제어신호)모드1은 SW1에 의해서 생성된다. ... 설계 절차① 디지털 시계의 설계 사양 결정㉠ 디지털 시계의 설계 과정 블럭도㉡ 디지털 시계의 기능 설명입력: CLK : 외부에서 제공하는 시스템 클럭SW1, SW2 : 각종 모드 설정 ... 시간 만큼 누르면 INCREASE를 한번만 발생하고, SET 스위치를 31개의 클럭보다 더 오래 누르고 있으면 31클럭(0.3 초)를 주기로 계속 INCREASE신호가 발생한다.소스코드
    리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • Milikan oil drop 실험 레포트
    디지털 멀티 미터 (전압과 저항을 측정)(예로, the PASCO SB-9599A Universal Digital Multimeter)? ... 초시계 (예를 들면, the PASCO SE-8702A Digital Stopwatch)? PASCO ME-8735 Large Rod Stand? ... 판 충전 스위치 (활동을 전환하는 동안 플랫폼의 진동을 방지하기 위해 1 미터의 코드에 둔다)Droplet viewing chamber의 구성? 뚜껑? housing?
    리포트 | 13페이지 | 1,000원 | 등록일 2016.01.13 | 수정일 2016.04.28
  • FPGA를 이용한 디지털 시스템 설계(인하대) 순차회로 보고서
    또한 시계의 카운터회로나 기타 복잡한 디지털 회로에는 필수적 요소이다.3. ... 실험과정 및 소스코드이번 실험에서는 Gated D Latch, D Flip-Flop, Synchronous Reset D Flip-Flop, J-K Flip-Flop, 4bit Shift ... FPGA를 이용한 디지털시스템 설계 REPORTSequential Circuits 설계11.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • VHDL-Final Project Digital Clock 만들기 <- A+
    하지만, 이러한 LCD interface 코드를 가지고, 시시각각 변하는 디지털 시계를 구현하라는 것은 다소 무리가 있어 보였다. ... 즉 한번의 switch 동작으로 값이 여러 번 변화되는 현상을 제거하고자 하는 것인데, 교수님께서 알려주신 C 소스코드의 원리를 이해하고 VHDL로 다시 coding 해 Debouncing ... 실험 목적지금까지 배운 Spartan b/d 를 구현 하기 위한 VHDL 코드를 이용한 Digital Clock code를 작성하고, Spartan b/d 에 Download 하여
    리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • VHDL 디지털시계 최종보고서 시뮬레이션(소스 포함)
    VHDL- 디지털 시계-Term PROJECT5조200##### ###※ 목차 ※1. 작품 선정동기 및 개발 목적/목표2. 개발내용- 소스코드- 시뮬레이션1. ... 원리를 알 수 있게 되고 결과물이 실 생활에서 사용 가능하기 위한 용이성을 생각해보고 프로젝트를 성공 했을때 성취감을 얻는데 목표을 두고 있습니다.2.개발내용(소스코드, 설명)entity ... 그것을 응용함으로써 더욱 완벽히 VHDL에 대한 것을 알아 가기 위해 선정을 하게 되었습니다.조원들의 의견을 종합으로 모두 만족했기에 이번 2학기 팀 프로젝트는 디지털시계에 도전하기로
    리포트 | 15페이지 | 1,500원 | 등록일 2013.01.26 | 수정일 2023.03.08
  • Arm 기반의 디지털 시계
    ARM기반의 SOC kit로 구현한 디지털 시계 소스 코드 및 보고서 자료입니다.
    리포트 | 19페이지 | 3,000원 | 등록일 2012.05.30
  • 디지털 시계 설계 발표자료
    디지털 시계 설계목 차1. 서론 및 설계목표 2. 설계계획 3. 설계과정 4. 작동시범 5. 결과 및 고찰 6. 참고문헌*1. ... 설계 계획소스코드 작성 회로구성 BFM검증(rtl,Timing) Full stripe검증(rtl,Timing) 작동점검*3. ... 서론 및 설계 목표Altera사의 Excalibur칩을 사용한 SoC설계및 검증 도구인 Huins사 SoC Master에 알맞은 시계를 verilog코드 작성에서부터 실제 구현까지
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    코드시계Clock을 생성하는 VHDL Module이다. ... 이러한 사회적 요구를 바탕으로 시계를 직접 제작하고자 한다.설계 목적사람들에게 정확한 시간과 실생활에서 시간과 관련된 필요한 기능을 제공하는 디지털 시계를 제작하는데 목적이 있다.구현 ... 코드 PAGEREF _Toc280057384 \h 5 Hyperlink \l "_Toc280057385" i.시계 PAGEREF _Toc280057385 \h 5 Hyperlink
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • 메카트로닉스 장애물 제거 로봇
    TQFP 타입으로 64개의 핀수를 갖고 있고, 인덱스 코너를 기점으로 반시계방향으로 번호가 시작이다.< Figure.13 > ATmega128의 외형과 외부 신호C. ... 입력PE7IC3/INT7입력캡쳐 입력단자 / 외부인터럽트7 입력< Table.11 > 포트 E의 특수 기능6) 포트 F(PF0 ~ PF7)의 특수 기능포트 F는 아날로그 신호를 디지털 ... 방식 USART- 주종 SPI직렬 인터페이스10) 아날로그 비교기- 두 아날로그 전압의 크기를 비교하는 내장된 아날로그 비교기11) A/D 변환기- 8채널 10비트 AD변환기12) 코드보호
    리포트 | 72페이지 | 5,000원 | 등록일 2016.04.03
  • 실험4 프로젝트 소스분석 C++ 8051 코드만 분석한 자료
    ///////////////////////////////////////////////////////////void clockmode(){ / 디지털 전자시계unsigned char ... 8051프로세서 소스 코드 분석/////////////////////////////////////////////////#include // 8051 의 SFR 이 정의된 파일#include ... 초시계 함수 부분 또한 별다르지 않게 구성이 간단하다.
    리포트 | 12페이지 | 2,500원 | 등록일 2014.12.16 | 수정일 2015.10.31
  • 한화 자소서
    시계를 구현하는 프로젝트가 있었습니다, 교수님께서 LCD 출력에 참고하라며 소스를 주셨지만, 소스를 이해한 팀이 전혀 없었습니다. ... 한 달 동안 인터넷과 서적의 7-segment 시계코드를 분석해 가며 이 문제를 풀기 위해 노력하였습니다. ... 또한 3권의 서적을 참고해 가며 MCU의 시스템 프로그래밍 방법을 배웠고, C언어 기반의 코드 비젼 툴을 자유자재로 사용할 수 있는 능력을 길렀습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2011.04.04
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대