• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(97)
  • 리포트(78)
  • 시험자료(9)
  • 자기소개서(7)
  • 방송통신대(2)
  • 논문(1)

"디지털 시계 소스코드" 검색결과 81-97 / 97건

  • 디코더와 멀티플렉서
    디코더(decoder)는 n 비트 입력의 코드체계를 최대 2n출력단자에 다른 코드체계로 변환하는 논리회로이다.▲ 2-비트 전체 디코더(1) 디코더의 입력 : 20과 21의 이진수(2 ... ◆ 이진 부호로 표현되는 디지털 정보는 n 비트로 2n가지의 서로 다른 정보를 표현할 수 있다. ... 세그먼트당 10~40㎃■ 액정 표시기(LCD, Liquid Crystal Dispaly)- 주변 빛이나 back-lit에 의하여 빛의 반사- 저전력 소모 응용 : 계산기, PDA, 시계
    리포트 | 15페이지 | 1,000원 | 등록일 2008.05.07
  • 5장 오실로스코프와 Function Generator실습
    1TRIGGER LEVER중앙HOLD OFFNORM(최대 반시계 방향(2) 전원 코드를 코넥터에 연결한다.(3) POWER 스위치를 누르면 POWER 램프가 켜지고 약 30 초 후에 ... .※ 참 고 ( 소스 이용 )다) 트리거링 ( Triggering)트리거링이라고 하는 것은 화면상에 안정된 파형 즉, 고정된 파형을 볼 수 있도록 하기 위한 작업이다.만약 위와 같은 ... - Special camera- Digital technique(2) 함수발생기 ( Function Generator )(가) 용도와 특징함수 발생기는 여러 가지 전자 시스템의 성능
    리포트 | 19페이지 | 1,000원 | 등록일 2010.11.12
  • [네트워크]고속 이더넷의 인코딩 기법의 종류
    그러나 이 방식은 3진수의 효율에 액세스하며, 불이익을 극복하는 블록-코딩방법(block-codeing method)으로 언급되는 방식이다. 8B/6T로 알려진 새로운 블록 코딩 방식은 ... 유용한 에러검출 능력을 제공한다.8B/10B 코드는 좀 더 일반적인 mBmB 코드의 예이고, m인 2진수 소스비트는 전송을 해서 n이라는 2진 비트로 매핑된다. ... 어떤 경우에, 매핑은 가능한 8-비트 소스블럭의 각각을 10-비트 코드불균형의 반대 방향으로 그것을 움직이는 역할을 한다. 인코딩 기법은 또한 제어라인 입력, K를 포함한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2006.04.26
  • [Only A+] 사회학적 관점으로 살펴본 세대간의 갈등
    세상이 온통 디지털과 인터넷에 의해 지배되고 있는 요즘 N세대는 당당하게 주역의 자리를 차지하고 있다. 누가 봐도 지금은 N세대의 시대인 것이다. ... 새로운 것 실천하는 일을 연속해왔고, 그럴 때마다 기성세대는 젊은 세대의 당돌함과 이해되지 않음에 대해 눈살을 찌푸려 왔다. 4.19 세대니, 6.3 세대니, 긴급조치 세대니, 모래시계 ... 서로 접하는 매체가 다르고 정보 소스가 다르기 때문에 이들 간의 격차는 좀체 메꾸어지지 않는다.나. 386세대와 X세대 간의 갈등대부분의 386세대들은 자신들이 민주주의를 최대가치로
    리포트 | 8페이지 | 1,500원 | 등록일 2012.01.29
  • [디지털논리회로]Digital clock design
    설계 포트폴리오학부(과)명전파공학과프로그램 명전파공학 프로그램성 명권명진학 번20001165교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만(교수님 ... )제 목Digital clock design1. ... 마지막 4차 과제를 통하여 3학년 때, 시계를 많을 때도 많은 도움이 될 것이라는 확신과 생각을 가지게 되었습니다.
    리포트 | 10페이지 | 1,500원 | 등록일 2006.06.21
  • ATmega 128
    earlier하게 오다.ATmega103에(서) 사용하지 않는 입출력 소량은 0에 같은 작용을 확실하게 하기 위해서 편지를 써져야 합니다.ATmega128..핀 설명..VCC : 디지털 ... 포트 출력 버퍼는 양쪽의 높은 싱크와 소스 능력을 가진 대칭적인 드라이브 지수를 가지고 있습니다.입력으로서, 포트 핀 외부적으로 당기다 낮은 유언 소스 전류 만약 풀업 저항은 활동시켜집니다 ... 코드가 절대 주소를 사용한다면 또한 인터럽트 벡터의 increased한 수는 문제가 될 수 있었습니다.
    리포트 | 7페이지 | 1,000원 | 등록일 2007.07.09
  • [공학]8051(어셈블리어)로 구현한 디지털시계 프로그램 소스
    작품 개요Atmel사의 89C51칩을 사용하여 만든 KUT51 키트를 이용하여디지털 시계를 LCD에 표현하도록 어셈블리어로 프로그램을 작성한다.외부 인터럽트를 이용하여 시계 및 알람을 ... 결 론디지털 시계를 집적 프로그래밍 하면여 완성했다. 특히 초기에 아스키 코드 값으로 LCD에 타나내는 것을 몰라서 며칠 동안 헤맸다. ... 작품 내용어셈블리어로 작성한 프로그램을 8051에 저장하여 연결된 LCD에디지털 시계를 표시.5.
    리포트 | 10페이지 | 2,000원 | 등록일 2007.01.16
  • [전기전자전파공학전공]VHDL으로 디지털 시계 만들기 - 카운터
    서론- 설계 목적① Digital clock의 동작 원리를 이해한다.▷ 디지털 시계 개요주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 ... 정보통신공학부프로그램 명전파공학 프로그램성 명김영훈학 번20021100교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만 (교수님)제 목Digital ... 설계 결과 및 검증① VHDL 소스로부터 나온 타이밍도 - 별첨
    리포트 | 11페이지 | 1,000원 | 등록일 2005.12.10
  • [전자공학] 마이크로프로세서가 이용된 제품의 예
    RALU는 17비트 산술 논리장치, 명령어의 OP코드(operation code)를 전용으로 처리하는 인스트럭션 레지스터(instruction register), 각종 제어 신호를 ... 제어하는 마이크로 코드 엔진, 여러 개의 레지스터들로 구성되어 있다. ... 이것의 제어는 디지털 입출력선과 달리 조금은 복잡한 방법으로 제어를 한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2003.10.07
  • [임베디드] 임베디드 시스템
    소프트웨어 소스 코드에 접근할 수 있는 권리? 프로그램을 향상시킬 수 있는 권리오픈소스에 대한 정의에서 언급한 사항을 인용해보면 공개 소스는 다음과 같은 요소를 따라야 한다.1. ... GPL을 따라야 한다.GPL을 따라는 소프트웨어 소스 코드를 고쳐 개인적으로 사용할 수 없다. ... 소스 코드 공개3. 변경과 수정 허용4. 원 저작자가 작성한 코드 보호5. 사람이나 그룹에 대한 라이센스 차별 금지6. 사용 분야에 대한 라이센스 제한 금지7.
    리포트 | 11페이지 | 1,000원 | 등록일 2004.11.04
  • [마이크로 프로세서]8051 디지털 시계 제작
    8051 디지털 시계 제작? ... 은 외부 메모리에서 명령어 (OP code)를 읽어오는데 사용된다.♣ Data Memory (RAM)? 하위바이트 128 byte 데이터 메모리? ... TXD와 RXD핀을 사용하여 데이터를 보내고 받는 일을동시에 할 수 있다.6개의 소스/5개의 인터럽트 벡터를 가진 두 가지 우선 순위 레벨의 인터럽트(6-source/5-vector
    리포트 | 13페이지 | 1,000원 | 등록일 2006.04.10
  • 7-segment를 이용한 디지털시계(verilog HDL)
    디지털 시계 설계-설계 사양-1. ... HDL 코드를 이용하여 설계하면 다음과 같다.module Digital_Clock (clock, reset, led0, led1, led2, led3) ;// Clock, reset ... 논리회로실험Term project 4제목: 7- Segment를 이용한 디지털 시계목표: Training Kit에서 지원하는 1MHz 수정발진기의 출력 및 7- Segment를 사용한
    리포트 | 11페이지 | 3,500원 | 등록일 2005.03.30
  • [마이크로프로세서] 마이크로프로세서 스톱워치
    프로그램 소스#include //8051 제어하기 위한 헤더파일#define CBYTE ((unsigned char volatile code *)0) // 코드메모리 처리#define ... 시계를 구상하게 되었다.2. ... 실험 목적8051 Controller의 구조와 동작을 이해하고 더불어 어셈블러와 C언어로 하드웨어를제어하는 방법을 실험을 통해 LCD를 이용해 시계를 Display하는 것을 목적으로한다
    리포트 | 27페이지 | 1,500원 | 등록일 2004.07.29
  • 유비쿼터스와 주요요소인 PMP가 사회에 미치는 영향
    -43빌립 P-2주요특징블루투스를 이용한 음향의 무선송신오픈소스를 이용한 다수의 프로그램사용, 네이게이션기능지상파DMB수신기능, 네이게이션 기능제품사진제조사맥시안디지털큐브뉴미디어라이프제품명D ... PC를 이용하여 영화등을 다운받고 이것을 트랜스코드해서 다시 PMP로 옮기는 작업은 상당히 귀찮으면서도 거의 영화를 보는 시간에 맞먹는 시간낭비를 하게 만든다. ... 이는 컴퓨터에 어떠한 기능을 추가하는 것이 아니라 자동차·냉장고·안경·시계·스테레오장비 등과 같이 어떤 기기나 사물에 컴퓨터를 집어넣어 커뮤니케이션이 가능하도록 해 주는 정보기술(IT
    리포트 | 18페이지 | 1,000원 | 등록일 2007.06.12
  • [마이크로프로세서] max+2 vhdl로 구현한 디지털 시계
    DIGITAL CLOCK1. 시계의 기능 및 작동원리2. 키트에서의 조작법3. ... SYMBOL 및 소스코드1) 종합 SYMBOL2) TIME3) TIME SET4) STOPWATCH5) ALARM6) DATE7) DATE SET8) ENCODER9) DECODERDIGITAL ... CLOCK■ 시계의 기능 및 작동원리▲ 시계의 기능1.
    리포트 | 30페이지 | 5,000원 | 등록일 2004.10.05
  • [통신]멀디미디어의 이해
    ♣JPEG의 압축 포맷: 표기에 대한 약속,‘마커코드’로 세 문자의 대문자를 나타내고 필요에 따라 첨자를 부가.♣마커코드 세그먼트: 마커코드에 이어지는 영역. ... ♣모니터: 개별 클립 또는 전체 프로젝트를 볼 수 있으며, 프로젝트를 동영상으로 저장하기 전에 미리 볼 수 있고, 재생 컨트롤을 사용하여 개별 클립이나 전체 프로젝트를 탐색 시계에 ... 디스크를 이용하여 녹화 공간이나 소스 메이션: 우리가 화상을 볼 때 우리의 눈에 남아 있는 잔상에 의한 착시효과를 이용한 것.작업 내용: 연속적으로 반복되는 것만으로도 움직이는 모터
    리포트 | 9페이지 | 1,000원 | 등록일 2005.11.20
  • [labview] LabVIEW를 이용하여 회전속도에 따른 진동수 측정을 통한 기계 이상 진단
    디지털 시스템은 디지털 회로와 디지탈연산을 사용하여 내부동작을 수행한다. ... 블록 다이어그램은 VI의 소스 코드이며 LabVIEW는 그래피컬 그로그래밍 언어 G로 구성된다. 블록 다이어그램은 단순한 기호처럼 보이지만 실제로 실행 가능한 프로그램이다. ... 처리량의 디지털 표현은 디지탈컴퓨터로 A/D변환기가 전송되는데, 컴퓨터는 이 값을 저장하고, 프로그램에 의해 처리한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2004.11.06
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대