• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(97)
  • 리포트(78)
  • 시험자료(9)
  • 자기소개서(7)
  • 방송통신대(2)
  • 논문(1)

"디지털 시계 소스코드" 검색결과 61-80 / 97건

  • sk 자소서 - 수정본
    방법이 없었기 때문에 다시 처음부터 소스코드를 외워가며 분석해 보았습니다.1주일째 코드를 분석하던 중, 저는 clock을 이용해 숫자를 찍을 타이밍을 계산함을 알아냈습니다. ... 한 달간의 노력으로 디지털 시계의 시간, 날짜 등의 알고리즘 코드는 대략 완성할 수 있었습니다. ... 결국 교수님께 여쭤보았지만, 소스코드만 보면 답이 나온다는 답변뿐이었습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2011.04.04
  • 디지털시계(인터럽트) 결과 보고서
    디지털시계(인터럽트)□ 인터럽트 서비스 루틴이 가능한 한 짧아야 하는 이유인터럽트는 가능한 빨리 벗어나는 것이 좋습니다. ... "if(count==0)"은 count가 0이면 즉, 처음 인터럽트가 발생하면 count에 48을 더해주어 ASCII 코드 값으로 0이 표현 될 수 있도록 합니다. ... 함수 뒤에 interrupt 키워드와 2를 써줌으로 써 2번째 인터럽트를 선언한 것 입니다.소스에서 count는 전역변수 입니다. count는 인터럽트의 발생 횟수를 셀 때 사용 됩니다
    리포트 | 1페이지 | 2,000원 | 등록일 2012.06.26
  • 임베디드 AVR(ATmega128) 프로젝트 제안서 결과리포트 - ATmega128칩을 사용한 디지털 온도계.hwp
    개발일정구 분1주2주3주4주5주6주작품선정 및 정보수집개발 계획서 작성알고리즘 구현소스코드 작성회로도 작성재료 구입소스코드 실험제품제작완성 및 발표결 과 보 고 서-디지털 온도계-목 ... 온도계 이외의 기능으로 습도계와 알람시계를 추가인- 64개의 TQFP와 64패드 MLF○ 동작 전원- ATmega128L : 2.7V ~ 5.5V- ATmega128 : 4.5V ~ ... Project 개발 계획서, 결과 보고서ATmega128칩을 사용한 디지털 온도계1.
    리포트 | 24페이지 | 3,000원 | 등록일 2012.09.29
  • lg전자SW최종지원서
    학교 앞 고시원까지 이사를 했고, 한 달간 피나는 노력을 한 결과, 저희 팀은 Spartan Board에 디지털 시계의 시간, 날짜, 초시계가 동작하도록 하는 알고리즘 코드를 완성할 ... 저희가 비밀에 대해 알려고 노력하는 동안 그 다른 2팀은 인터넷에서 시계관련 소스코드도 사놓고, 시계의 옵션을 늘리는 것에 노력을 기울게 있었기 때문에 팀원들에게 정말 미안하였고, ... 모든 팀이 시계의 알고리즘은 이미 완성을 한 상태였지만, 정작 중요한 LCD에 글자를 뿌리는 방법은 알아내질 못했던 것입니다.그 방법은 교수님께서 LCD 출력 참고파일로 주신 소스코드
    자기소개서 | 5페이지 | 3,000원 | 등록일 2011.04.04
  • [Verilog 언어] 기본시계 소스코드입니다.
    1. 소 스module timer(Mili_Low, Mili_High,Sec_Low, Sec_High, Min_Low, Min_High, Hour_Low, Hour_High, CLK); output [3:0] Mili_Low, Mili_High, Sec_Low, Se..
    리포트 | 2페이지 | 2,500원 | 등록일 2009.01.14
  • Project 개발 계획서 결과 보고서 - ATmega128칩을 사용한 디지털 온도계
    개발일정구 분1주2주3주4주5주6주작품선정 및 정보수집개발 계획서 작성알고리즘 구현소스코드 작성회로도 작성재료 구입소스코드 실험제품제작완성 및 발표결 과 보 고 서-디지털 온도계-목 ... /ds5pnz/ 네이버블로그# 소스코드(3-1)#include#define TRUE 1#define FALSE 0void delay(unsigned int millisec);// 시간 ... result = (result * 9 + AD) / 10;display(result, TRUE);// 소수 첫째자리까지 표시delay(500);// 500ms 대기}return 0;}# 소스코드
    리포트 | 18페이지 | 3,000원 | 등록일 2010.01.28
  • lg이노텍자소서
    한 달간의 노력으로 디지털 시계의 시간, 날짜 등의 알고리즘 코드를 완성할 수 있었습니다. ... 저희가 이 비밀에 대해 알려고 노력하는 동안 2팀은 인터넷에서 소스코드도 사고, 시계옵션을 늘리려고 노력을 했던 것이었습니다. ... 또한 ABEEK공학인증프로그램에 참여하여 캡스톤 설계, 소프트웨어시스템설계, 창의공학 설계 등, 여러 과목에서 설계 프로젝트를 하며, C, C++, Code vision 등의 프로그램과
    자기소개서 | 3페이지 | 3,000원 | 등록일 2011.04.04
  • 정보체계론 요점정리 서브노트
    전산화 업무의 선정어떤 업무를 전산화할 것인가 coding : 프로그래머3. ... 새로운 작업을 하는데 필요한 기술적 부분: 언어, 보조기억장치의 규모, 시간.사용자 단말장치 > 자기디스크 A > 처리과정 > 자기디스크 B3.2 언어 컴파일러 (compiler)소스 ... 아날로그형과 디지털형이 있으나 1960년 이후로는 거의 디지털형만이 이용된다.자료와 지식의 차이점資料를 가공하여 체계화시킨 것이 知識.자료 자체만을 가지고는 의사결정이나 생산에 기여할
    리포트 | 29페이지 | 2,000원 | 등록일 2009.09.29
  • Altera Quartus를 이용한 디지털시계 설계 소스 및 ppt 자료(시계,알람,스탑워치 기능포함 소스)
    디지털 설계소스 및 파워포인트 자료목차1.시계의 기능 및 작동원리2.블록다이어그램 및 코드3.시뮬레이션 결과
    리포트 | 15페이지 | 4,000원 | 등록일 2008.11.03
  • HDL_결과보고서
    시계 회로 코드- 이 소스에서 변경된 내용1) select_A를 4비트에서 3비트로 변경(select_a를 0~6까지 사용합니다. ... HDL 디지털 시계 만들기한국외국어 대학교전자공학과- 프로젝트 진행 순서시계 + 알람 + 스톱워치 -> 탑모듈(미완성)* 모든 모듈에서 clk은 1/100초의 주기로 주었는데 이를 ... 스톱워치 회로 코드- 이 소스에서 변경된 내용1) reg a선언정지 모드로 들어가게 하는 reg로써 만약 Stop_S의 신호가 들어오게 된다면 일단 현제 상태를 유지 하면서 a레지스터에
    리포트 | 18페이지 | 1,500원 | 등록일 2011.04.13
  • lg전자최종지원서
    학교 앞 고시원까지 이사를 했고, 한 달간 피나는 노력을 한 결과, 저희 팀은 Spartan Board에 디지털 시계의 시간, 날짜, 초시계가 동작하도록 하는 알고리즘 코드를 완성할 ... 비슷한 동작을 하고, 거기에 추가 기능을 더한 디지털시계를 구현하는 것이었습니다. ... 그 방법은 교수님께서 LCD 출력참고파일로 주신 소스코드를 약간 수정하는 것이었는데, 착시 현상을 이용해야 하는 방법을 그 누구도 알아내지 못했기 때문이었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2011.04.04
  • vhdl을 이용한 FPGA 킷에서의 디지털 시계 구현
    PurposeXilinx 소프트웨어를 이용하여 디지털 시계소스코드를 작성한 후, FPGA Kit에 적용하여 실제로 시간이 표시되는지 여부를 확인한다.2. ... Problem Statement① Describe what is the problem.주어진 entity로 디지털 시계를 설계하며, pin할당 역시 주어진 pin table을 참고하여 ... Behavioral of digital_clock issignal s10_clk, s01_clk, m10_clk, m01_clk, h01_clk : std_logic;signal hr10
    리포트 | 8페이지 | 3,000원 | 등록일 2009.11.12
  • ADC 사용 및 그 응용
    Gene.의 출력 단자를 연결해, 신호를 인가한다.소스 코드는 Practice 2와 동일하게 사용하여도 된다. ... 최대값은 시계반대 방향일 때, FFF. 최소값은 시계방향으로 돌렸을 때, 000가 출력된다.위 표에서 확인하면 1.5V가 80x로 중간 값 정도를 가르키는 것을 알 수 있다. ... Practice 3의 입력을 DAC를 통해 재 출력하기Additional exerciseResult & DiscussionIntroduce>> 예제 4번 프로그램을 수정하여 Analog to Digital
    리포트 | 6페이지 | 3,000원 | 등록일 2010.06.02
  • VHDL 을 이용한 디지털 시계 설계
    소스 코드library ieee;use ieee.std_logic_1164.all;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... 디지털 시계의 기능1) 기본적인 시계 구현- 기본적인 시계의 경우 8개의 7-Segment를 이용하여 시, 분, 초 1/100초를 각각 2개씩 나타내도록 사용하였습니다. ... 디지털시계시계기능, Stop Watch기능, 달력기능, 그리고 알람 기능을 가지고 있으며, 각각의 기능 등을 선택하는 MODE와 각 기능에 있어서 세부 사항을 조절하는 SUB_MODE
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • AVR(ATmega128)을 이용한 설계
    제어시스템설계 최종 보고서목 차▲ Report - 코드 분석▲ 참고자료▲ 고 찰▲ Motor Driver 제작▲ 따귀 알람시계 설계▲ 학기를 마치며※ Report Page Number ... 주요부품▲ 따귀 알람시계 설계● Code 설명#include #include #define DutyMax 10000 // TopValue 지정unsigned char segment_num ... 3개의 인터럽트 소스(송신완료, 송신 데이터 레지스터 빔(empty), 수신완료)3. ATmega128의 USART 모드?Normal Asynchronous mode?
    리포트 | 73페이지 | 5,000원 | 등록일 2011.12.10
  • 기즈모(Gizmo)와 프로토타입(Prototype)
    가령, 스마트하게 만들어진 디지털 시계는 가제트라고 부를 수 있지만, 태엽 장치 (구동장치) 가 들어있는 아날로그 시계는 기즈모라고 부른다. ... 위해서, Map Co와 같은 의미라고 봐야 합니다.참고로, 3D의 진수는 바로 매핑에 있으므로, Modify menu에 있는 맵 좌표계를 모두 숙지하면서, Photoshop 등에서 맵소스 ... 코드 압축은 비용 효율 측면 보다는 코드 보안 측면에서 더 의미있어 보인다.
    리포트 | 6페이지 | 2,000원 | 등록일 2010.09.17
  • vhdl을 이용한 디지털 시계.(연습문제 풀이. Digital systems : principles and applications)
    트 제 목 / 목 표-3-■설계 방향/필요성-3-■프로젝트진행계획-4-■VHDL코드/시뮬레이션-5-■연습문제 풀이-10-■결과 및 고찰-13-■ 제 목 : VHDL을 이용한 디지털시계 ... 제작.■ 목 표● VHDL을 이용 동기식 카운터를 이용한 디지털 시계를 설계.● VHDL의 코드를 이해하고 다루는 법을 익힌다.■ 설계 방향.VHDL을 이용한 설계로 시, 분, 초를 ... VHDL을 이용한 디지털시계 설계/연습문제풀이CHR3조장 :32042085박 제 우32001756임 정 근32032130이 윤 재32032164정 우 현목 차■목 차-2-■프 로 젝
    리포트 | 13페이지 | 2,500원 | 등록일 2008.02.20
  • 디바이스 드라이버
    UART 장치는 콘솔(CONSOLE)의 기능 그리고 프로그램 코드의 다운로드 하는 인터페이스 장치로서도 사용되며, TIMER 장치는 향후 상주되어 동작 할 커널의 시계로서 사용 된다 ... 컴파일은 일반 PC환경에서 크로스 컴파일러를 이용하여 컴파일을 행하고, 실행은 PDA에서 행하면 됩니다.1.역할크로스 컴파일러는 C 소스를 개발하고자 하는 CPU에 맞게 컴파일해서 ... TDO)④ 회로의 배선과 소자의 전기적 연결상태 test⑤ 디바이스간의 연결상태 test⑥ Flash memory fusing◆ 임베디드 시스템의 예PDA, 스마트폰, 홈 네트워크, 디지털TV
    리포트 | 4페이지 | 1,000원 | 등록일 2010.11.26
  • 논리회로 설계실험 농구전광판
    계산기에서 사용했던 LCD와 스위치 디지털시계 실습에서 사용하였던 SEVEN_SEGMENT를 사용하여 구현 하였다. ... 전의 소스코드보다 간단해 진다는 것을 알 수 있었다.ㄹ)BASKETBALL_DATA entityentity BASKET_DATA isPort ( FPGA_RSTB : in STD_입력switch ... ;S_SEC : in STD_LOGIC_VECTOR (5 downto 0));end SEGMENT_DRIVER;표 LCD_DRIVER모듈의 entitySEGMENT_DRIVER는 디지털시계
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • 스마트폰 기획서 - 시장 현황과 전망, 가치사슬 분석, SWOT분석
    양자 모두 플랫폼 소스코드를 개방하여 개발자들의 참여를 독려하고 있다는 점에서 유사하다. ... 율리스 나르당(Ulysse Nardin)은 세계적인 시계 보석박람회인 ‘바젤월드2009’를 통해 한정판 스마트폰인 ‘체어맨’을 처음으로 공개했다. ... .■ AppleApple의 전략은 iPod 과 iTunes로 확립한 디지털 음악시장과 MP3P 시장에서의 강세를 바탕으로 휴대폰 시장에 진입하는 것이다.
    리포트 | 18페이지 | 4,500원 | 등록일 2009.12.10
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대