• 통큰쿠폰이벤트-통합
  • 통합검색(184)
  • 리포트(173)
  • 자기소개서(4)
  • 시험자료(3)
  • 방송통신대(2)
  • 논문(1)
  • 이력서(1)

"7세그먼트 레포트" 검색결과 41-60 / 184건

  • 플랫폼의 비즈니스모델 분석 - 000의 사례연구를 중심으로(아트시 플랫폼의 비즈니스 모델에 관한 연구)
    비영리단체의 경우 구독료가 없다.비즈니스캔버스 모델의 구성 요소(Building Block)에 근거하여 아트시 플랫폼을 분석할 경우 아래와 같이 제시할 수 있을 것이다:첫째, 고객 세그먼트 ... 미술계의 구글 아트시의 성공 스토리문화뉴스, 2021.9.9., 아트컨티뉴, 세계 최고 온라인 미술거래 플랫폼 ‘아트시(artsy)’와 제휴이경민, K-ART MARKET 미술시장 리포트 ... 예술경영지원센터, 2020.9.30.김원재/이진우, 온라인 미술품 공동구매 플랫폼의 비즈니스 모델 분석을 통한 대중의 옴니보어적 문화소비 형성에 관한 연구, 디지털예술공학멀티미디어논문지 7(
    리포트 | 5페이지 | 2,000원 | 등록일 2022.07.05 | 수정일 2022.07.06
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오
    이제, 본 리포트에서 비교하기로 한 시계에 대해서 알아보자. 일단 아날로그 클럭은 시각이 다이얼 주위를 이동하는 포인터이다. ... 신제품 개발 7단계4. 디지털제품과 아날로그제품의 비교Ⅲ. 결론?참고문헌Ⅰ. 서론오늘날의 4차 산업혁명 시대에 많은 제품이 디지털화되고 있다. ... 마케팅 세그먼트, 타겟 마케팅 설정, 위치 설정, 가격 정책, 제품 정책, 패스 정책, 광고 정책 등 마케팅 전반의 테스트가 포함된다.이 프로그램은 상당한 비용이 드는 데다 시험에
    리포트 | 7페이지 | 2,500원 | 등록일 2023.04.26
  • 서울시립대학교 전전설2 2주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    다른 용어로 7세그먼트 표시 장치(seven-sents, Devices) of this Lab(1) HBE Combo-II SE(2) Xilinx ISE를 구동하기 위한 컴퓨터 or ... Pre-Lab Report- Title: Lab#2 Schematic Design with Logic Gates담당 교수담당 조교실 험 일학 번이 름목 차1. ... 버튼을 누르는 동안 전류를 차단하는 타입이 존재한다.- Dip switch수동으로 전류를 on off하는 스위치이다.- FNDFND(Flexible Numeric Display)는 7개의
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.10.24
  • 마이클 포터의 본원적 전략 중 하나를 선정하여 국내 이동통신 사업자들이 활용하는 사례를 토론하시오.
    이는 시장을 다양한 부분으로 나누고, 각 세그먼트에 맞춤형 서비스를 제공함으로써 고객들의 다양한 요구를 충족시키는 전략이다. ... 레포트에서는 문헌 고찰을 통해 마이클 포터의 본원적 전략을 상세히 이해하고, 국내 이동통신 사업자들의 사례와 연계하여 이를 분석할 것이다. ... .^3)^4)(^5)(^6)^7^8..FILE:Contents/section0.xml마이클 포터의 본원적 전략 중 하나를 선정하여 국내 이동통신 사업자들이 활용하는 사례를 토론하시오.목차Ⅰ
    리포트 | 5페이지 | 3,000원 | 등록일 2024.03.28
  • 확장성심근병증/울혈성심부전(문헌고찰+간호과정(진단, 과정 각 2개))
    REPORT과 목 명:담당교수:학 과:학 번:이 름:목차Ⅰ . ... calcium scoring=1626.44좌측관상동맥에서 시각화된 관상동맥 초점 심근교합에 유의한 협착 없음심혈관 계통의 이상 없음RCA, LM, P-LAD 및 P-CCAX의 모든 세그먼트에 ... 속발성인 경우 원인을 찾아서 제거하는 것이 필요하다.7.
    리포트 | 21페이지 | 2,000원 | 등록일 2023.02.21
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    DeMUX의 회로는 다음과 같다.Vhdl를 이용한 코드출력 결과FND DecoderFND Decoder의 정의FND 란 Flexible Numeric Display 의 약자로서 7세그먼트 ... 그랬더니 전과 달리 시간을 멈췄다가 다시 실행 해도 같은 문제가 발생하지 않았다.고찰이번 주차의 레포트는 component를 활용한 시계 실습이였는데,거의 3~4주에 걸쳐서 진행 함에 ... FND는 7개의 획으로 구성되어 있으며, 숫자나 문자를 나타낼 수 있다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • NCS반영 최신 정보처리기사 실기 요약집 / 정보처리기사 실기 용어정리 / 정보처리기사 실기
    그룹 내 비율 함수"RATIO_TO_REPORT(주어진 그룹에 대해 함을 기준으로 각 로우의 상대적 비율을 반환, 결과값은 0~1 범위) / PERCENT_RANK(주어진 그룹에 대해 ... Layer"표현계층 - JPEG, MPEG - 데이터"5L Session Layer"세션계층 - SSH, TLS - 데이터 "4L Transport Layer"전송계층 - TCP, UDP - 세그먼트 ... 보여주며, 가용성, 신뢰성, 성능, 확장성 등의 시스템의 비기능적 요구사항 고려"9유스케이스 뷰"아키텍처를 도출, 설계하는 작업 주도 / 다른 뷰를 검증하는 데 사용"10OSI 7
    시험자료 | 18페이지 | 3,000원 | 등록일 2021.12.03
  • ATmega128을 이용한 전자피아노(메트로놈, 자동노래재생 기능 추가)
    8Ω 0.5W 스피커 28파이- SM-1205C(부저)- 2SC1815(npn 트랜지스터)- 4핀 택트스위치(버튼용 스위치)- KW10-LF(건반용 스위치)- 3461CSR(4자리 7세그먼트 ... 마이크로프로세서 실험 및 설계 Term Project Report주제명: 전자피아노(메트로놈, 자동노래재생 추가)차 례1.프로젝트 개요2.전체 시스템 구성3.회로도, 소스코드4. ... )피아노 건반(PORTA)7segment a~g. dp(PORTG)PG0~PG3: 7SEGMENT COM4~COM1(PORTE)LCDPC0PC1PC2PC3PC4PC5PC6PC7(2)
    리포트 | 33페이지 | 3,000원 | 등록일 2020.01.14 | 수정일 2023.08.24
  • 이력서/입사지원서/경력기술서/자기소개서 양식 (마케팅 분야 경력기술 내용 포함)
    시장, 산업 정책 등 정보 관리 및 협회업무* 이직 동기:[ 교육이수사항 ]한국방송광고공사 광고아카데미 수료(2004.12)에이스컴퓨터교육센터 웹 프로그래밍 과정 수료(2005.7~ ... 유통 회사 / 직원수 – 0,000명 / 매출액 – 0,000억 원주요업무시장 분석: 경제, 정책, 경쟁사, 소비자 분석을 통한 경영기획 업무 지원수요 분석: 단기, 중장기 시장, 세그먼트 ... 업무ProductPlacePromotionPeople브랜드 리뉴얼 진행마케팅팀, 대리: 2006년 8월 ~ 2008년 11월시장의 U&A 조사 매년 설계 및 진행반기 별 FS 시장 동향 조사 및 트렌드 리포트
    이력서 | 4페이지 | 1,000원 | 등록일 2021.02.03
  • [예비레포트] 숫자표시기와 7447, 응용
    예비 레포트숫자표시기와 응용1.개요숫자표시기는 보통 7-세그먼트 표시기(7-segment display)라 불린다. ... 이 숫자표시기 회로는 이후의 실험에서 숫자를 나타내기 위해 자주 등장하므로 이를 사용하는 회로의 구성과 사용법을 완벽하게 익혀 놓도록 한다.2.관련이론7-세그먼트 표시기(7-segment ... 대부분의 7-세그먼트 표시기에는 이 일곱 개의 LED외에 한쪽 귀퉁이에 소수점을 표시할 수 있도록 점 표시가 있어서 실제로는 모두 여덟 개의 LED를 내장하고 있다.숫자표시기는 두
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • [예비 및 결과레포트] 숫자표시기와 응용
    숫자표시기와 응용예비 및 결과레포트1. 실험제목 : 숫자표시기와 응용2. 개요숫자표시기는 보통 7-세그먼트 표시기( 7-segment display)라 불린다. ... 이 숫자표시기 화로는 이후의 실험에서 숫자를 나타내기 위해 자주 등장하므로 이를 사용하는 회로의 구성과 사용법을 완벽하게 익혀 놓도록 한다.2. 7-세그먼트 표시기( 7-segment ... 세그먼트 디코더/구동기 (7-segment decoder/driver)BCD 코드가 주어지면 이것으로 7-segment display의 LED들 중 적절한 것을 켜주어 해당숫자가 표시되도록
    리포트 | 7페이지 | 1,000원 | 등록일 2019.04.18
  • [예비레포트] 숫자표시기와 응용
    숫자표시기와 응용예비 레포트1. 실험제목: 숫자표시기와 응용2. 개요숫자표시기(7-세그먼트 표시기)의 구성원리를 이해하고 구동하는 방법을 실습하도록 한다. ... 실험 이론-7-세그먼트 표시기(7-segment display)숫자표시기는 일곱 개의 방광다이오드(LED, light-emitting diode)로 이루어진 표시창으로 일곱 개의 LED ... 세그먼트 디코더/구동기(7-segment decoder/driver)BCD 코드가 주어지면 이것으로 숫자표시기의 LED들 중 적절한 것을 켜주어 해당 숫자가 표시되도록 하는 IC를
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • 7세그먼트 디코더
    실험57-세그먼트 디코더-결과 레포트-1. 4진수/2진수(4-line to 2-line) 우선순위 인코더를 AND, OR, NOT 게이트를 사용하여 설계하고 출력단자 A와 B에 LED를 ... 우선순위 인코더의 출력을 BCD/7-세그먼트 디코더 드라이버(74LS47)의 입력에 연결한 실험2는 7-세그먼트 디코더의 출력에 7-세그먼트 LED를 연결하여 0, 1, 2, 3의 ... 세그먼트 디코더 드라이버(74LS47)의 입력에 연결하고 74LS47의 출력에 7-세그먼트 LED를 연결하여 0, 1, 2, 3의 4가지 입력에 대해 7-세그먼트 LED 숫자를 제대로
    논문 | 4페이지 | 3,000원 | 등록일 2015.06.23
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    현 실험에서는 0~9까지의 한자리를 나타내었지만 다른 7-세그먼트로 출력을 잡고 같은 코드를 추가하면 십의 자리, 백의 자리 등 더 큰 수 도 표현이 가능하다. ... 1Result report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증자료는 ... 고찰Seven-segment display의 원리에 대해 배우고 BCD코드를 기반으로 실제 논리게이트에서는 디코더(7447)을 통해 BCD코드를 세그먼트 신호코드로 바꿔주고 세그먼트
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 시뮬레이션pspice (NOR AMD 게이트, 7-세그먼트,4비트 병렬가산기, 두자리 BCD 가산기, 2진 하진 DA 변환기, ADC0804를 이용한 AD 변환), Timer 소자 회로 실험, 두자리 BCD 가산기
    전압을 주면 빨간불이 들어왔으며 V의 값에 따라 7-세그먼트의 숫자 값이 달라졌습니다. ... , 7432(IC8), 7447 소자를 사용하여 7-세그먼트로 구현하려 했지만 문제점을 찾지 못하였습니다. ... 0①②③① 35 + 28 = 63이 나오는지 확인하라② IC들과 회로들이 어떻게 동작하는지 설명하라35 + 28이지만 3과 2를 더하고 5와 8을 더해서 각각의 자리 수를 7446A (7세그먼트
    리포트 | 9페이지 | 2,000원 | 등록일 2019.06.23
  • 시립대 전전설2 [8주차 예비] 레포트
    전자전기컴퓨터설계실험 Ⅱpre-report8주차: 7-segment, Piezo1. Introduction (실험에 대한 소개)가. ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... 코드를 키박스에 넣어서 원하는 세그먼트 숫자와 부저의 소리가 나는지 확인하는 실험나.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 시립대 전전설2 [8주차 결과] 레포트
    전자전기컴퓨터설계실험 ⅡPost-report8주차: 7-segment, Piezo1. Introduction (실험에 대한 소개)가. ... 아쉬운 점은 소리가 나오는 것을 레포트에 담고싶었지만 그렇게 할 수 없어서 각 음에 따른 FND 설정을 하여 1 ->도 2->레 3->미 4->파 5->솔 6->라 7->시 8 -> ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    0001 1001● 7세그먼트 표시 장치(Seven-segment display)7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 ... 1Preliminary report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다. 7세그먼트는 FND(Flexible Numeric Display) 라고 표현하기도 한다. 7세그먼트
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 디지털논리회로 MULTISIM 레포트 7-segment
    디지털논리회로MULTISIM 레포트주제 : Seven-segment담당 교수: 송낙운 교수님분반: 2분반 화수목8학번: B415060이름: 김희동레포트 주제로 Seven-segment를 ... 공통 단자는 그라운드에 연결되고, 5볼트가 입력되면 LED가 켜진다.7-세그먼트 원리에 대해 설명하는데 BCD-to-7 세그먼트를 기준으로 하겠다.BCD-to-7세그먼트 디코더는 4비트로 ... *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를
    리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
  • Xilinx-ISE 응용 레포트 (7-segment)
    디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를 ... 공통 단자는 그라운드에 연결되고, 5볼트가 입력되면 LED가 켜진다.7-세그먼트 원리에 대해 설명하는데 BCD-to-7 세그먼트를 기준으로 하겠다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대