• 통큰쿠폰이벤트-통합
  • 통합검색(184)
  • 리포트(173)
  • 자기소개서(4)
  • 시험자료(3)
  • 방송통신대(2)
  • 논문(1)
  • 이력서(1)

"7세그먼트 레포트" 검색결과 101-120 / 184건

  • 10진 카운터 제작 결과레포트
    실험에서는 7세그먼트를 구동하기위한 10진 카운터 74LS90 그리고 2진수를 입력 받아 7세그먼트를 구동 시켜주는 74LS47를 사용하여 세그먼트 LED를 구동해본다.2.예비레포트 ... 따라서 74LS47 IC를 거친 신호로 인해 7segment의 LED를 0∼9까지 차례대로 카운팅 되는 것이다.주의할점은 7segment에 입력되는 각각의 신호에는 저항을 달아야 한다.LED에서 ... 사용기기 및 부품- SMPS, 펑션제네레이터, 74LS90, 74LS47, 7 Segment, 저항(330)4.
    리포트 | 3페이지 | 1,500원 | 등록일 2010.03.16 | 수정일 2016.11.04
  • 데이터베이스관리실습7~11장
    [7장 오라클 9i의 시작과 종료] (연습문제)1. ... SQL> BREAK ON DEPTNO SKIP 1 ON REPORT??? ... >CREATE INDEX EMPLOYEE_INDEX ON EMPLOYEE(MANAGER_CODE);7.분석된 인덱스 정보를 참조하기 위한 SQL 문을 작성하라.1.
    리포트 | 12페이지 | 1,500원 | 등록일 2011.06.14
  • 세그먼트(7segment) 실험보고서
    이 회로는 9490A의 BCD2) 7-세그먼트는 에노드 타입과 캐소드 타입으로 나뉘며, 에노드 타입은 포토다이오드의에노드 쪽이 공통으로 Vcc로 묶여 있으며 입력전압이 기준이 되어 ... 목적- 7segment Decoder Driver와 7segment LED 10진 표시 IC의 동작에 대해 실험한다.3. ... 이번 실험으로 7segment decoder의 동작 원리를 알게 되었다.- 이번 실험은 7segment Decoder Driver와 7segment LED 10진 표시 IC의 동작에
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • 전자 회로 실험 - 광전자 소자
    전자 회로 실험 6주차 사전 Report 실험 5 – 광전자 소자1. 실험 목적적색과 녹색 LED의 특성을 측정한다. 7 세그먼트로 숫자를 나타낸다. ... (먼저 숫자 7을 나타내 본다.) 7 세그먼트 표시 소자에 숫자(0~9)와 소수점등을 나타내 본다. ... 녹색 LED 실험도 회로를 구성한 후 위 과정을 반복한다. 7세그먼트 표시 소자 실험 회로를 구성한 후 회로가 제대로 동작하는지 시험한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.10.26
  • 현대자동차,마케팅전략,마케팅,브랜드,브랜드마케팅,기업,서비스마케팅,글로벌,경영,시장,사례,swot,stp,4p
    경쟁사들의 판촉 경쟁심화ThreatsThreatsSWOT졸 면 에 프STP (그림)특 징유가상승으로 인해 미국소비자들이 기존 선호하던 픽업트럭과 SUV에서 소형과 중형차로 바꿈 소형(B세그먼트 ... )는 전년대비23.7%증가일본차가 미국시장에 높은 점유율 차지 자동차 시장 위기에도 쏘나타 판매량 상승대표차종럭셔리세단시장세분화중형소형아제라쏘나타,캠리아반테렉서스, 벤츠SUV싼타페엑센트대형시장은 ... ) : 대형 승용차 부문'2009 최고의 모델'선정 •2008 NHTSA 정면 및 측면 충돌 테스트 평가 별 다섯 획득•美컨슈머리포트(Consumer Report) : 소형차 부문
    리포트 | 25페이지 | 3,000원 | 등록일 2012.06.17
  • nand게이트 실험보고서(2-입력 NAND 게이트를 이용한 7447 설계)
    * 7447은 COMMON ANODE 타입의 7세그먼트 드라이버로써 출력이 0(LOW)일 때7세그먼트가 점등된다.② 카르노맵에 의한 논리식 간소화aBADC0001111000010001100111 ... REPORT(2-입력 NAND 게이트를 이용한 7447 설계)과 목 명 : 디지털시스템 응용 및 실험학 과 :학 번 :이 름 :□ NAND 게이트를 이용한 7447 설계2-입력 NAND ... 대체하였으며 인버터는 NAND 게이트 1개로 대체되었다.⑤ 논리회로의 시뮬레이션 검증2-입력 NAND 게이트로 설계된 논리회로는 전자회로 시뮬레이션 프로그램인 프로테우스(ISIS 7)
    리포트 | 7페이지 | 1,000원 | 등록일 2009.04.20
  • 7-세그먼트 LED 디코더 표시회로
    REPORT7세그먼트LED디코더와 표시회로결과보고서▣실험제목- 7-세그먼트 LED 디코더와 표시회로▣실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다 ... 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.4) 7-세그먼트의 표시상태애노드 공통형 BCD-7 ... 숫자 키를 누르면 인코더에서 해당 코드가 발생하는데, 이 코드는 다시 표시소자 디코더를 거쳐 숫자 표시기를 구동한다.2) 7-세그먼트 LED 표시소자그림 14-2(a)는 7-세그먼터
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • 기초전자회로실험 - 디지털 시계
    표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N 카운터 등이 필요하다.카운터에 따른 증가시점초의 일의자리 10진 카운터 ... 구성함으로서 차후에 보다 복잡한 회로구성에 도움이 되고자 한다.4.Main Subject & Basic Theory디지털 시계의 구성디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 ... -정리 및 고찰(작품을 깔끔하게 정리하였고, 결과레포트를 작성하였다.)5. 결론문제점 보안 및 수정사항.우리가 처음 설계한 회로를 들고 부품 구입을 하고 있는데 문제가 생겼다.
    리포트 | 10페이지 | 3,000원 | 등록일 2011.04.25
  • 타이머 / 디지털 시계 [마컴 예비레포트]
    세그먼트 LCD7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. ... 20721583 마이크로컴퓨터박민연20721583 마이크로컴퓨터 실험박민연10월 12일자 예비레포트실험 6주차 PWM [Pulse Width Modulation]타이머 / 디지털 시계1 ... 이 소자는 막대모양의 LED 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서upt vector OC1ARESET:LDIAH,high(RAMEND); initialize
    리포트 | 12페이지 | 1,000원 | 등록일 2012.05.22
  • PLIF ( Posterior lumbar interbody fusion ) 후방 요추체간 유합술
    REPORT■ 해부학1. 척주척주(vertebral column)는 몸통의 지주를 이루고 머리뼈와 골반을 연결한다. ... 마찬가지로, PLIF와 TLIF 절차를 포함 뼈를 자극하는 척추의 특정 영역에 걸쳐 골 이식 (척추 또는 장골에서) 또는 골 이식 대체의 누워 두 개의 척추 뼈 사이에 성장하여 해당 세그먼트에서 ... 넓고, C7을 제외한 가시돌기는 짧고 뒤쪽으로 똑바로 나와 있으며 끝이 두 갈래로 갈라져 있다.
    리포트 | 10페이지 | 6,000원 | 등록일 2014.06.14 | 수정일 2017.09.11
  • 북스힐 - 물리2 줄의 진동
    Report제 목 : 1. ... - 실의 밀도를 나타낸다.7. ... 수는 증가 하고 파장은 세그먼트 수에 반비례하여 짧아질 것이라는 예상이 확립되었다.이론을 찾아본 결과 탄성력을 갖은 줄은 파동의 진동 폭이 탄성 때문에 더욱 커지고 탄성이라는 성질
    리포트 | 11페이지 | 1,000원 | 등록일 2012.09.14
  • Protocol
    > 0x08354) Hardware type (2)ㅇ 사용중인 Hardware 주소 타입을 나타내는 필드NET Token Ring5 Chaos6 IEEE 802.3 networks7 ... ICMP 메시지 구성◆ IP 패킷에 캡슐화된 ICMP 메세지 구성◆ ICMP 메세지의 일반적인 포멧◆ ICMP 메세지 유형 분류ㅇ 오류 보고 메세지 (Error Reporting Message ... 않는다.◆ UDP 위에서 동작되는 프로토콜들 또는 응용분야- TFTP, SNMP, DHCP, NFS, DNS, RIP 등◆데이터 전송 단위- 메세지 (TCP에서의 데이터 전송단위는 세그먼트
    리포트 | 15페이지 | 1,000원 | 등록일 2014.06.11
  • 인코더와 디코더 실험예비보고서
    예비보고서(1) BCD 코드에서 7세그먼트의 각 요소를 on 시키는 Boole 논리함수[식 (9)와 같은 형태]를 모두 쓰라.(2) BCD/7-segment 디코더/드라이버 (74LS47 ... REPORT실험4장. 예비 보고서인코더와 디코더1. 실험 제목-인코더와 디코더2. ... )를 인터넷에서 찾아 논리 심볼과 pin 구성도를 그려라.①Logic Symbol.② pin 구성도.※ RBI 포트가 Low인 경우 0에 해당하는 BCD 코드가 입력되었을 때, 7-
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.15
  • 디지털논리회로실험 예비report(2주차) - 장비사용법
    세그먼트(7-segment)와 발광다이오드(LED : Light Emitting Diode) 및 여러 가지 반도체 소자와 회로를 꾸미기 위한 브레드보드(Bread Board) 등이 ... (논리회로실험 2주차 예비 Report)1. 제목 장비 사용법(파워서플라이, 논리실험장치, 멀티미터, 오실로스코프) 및 시뮬레이션 툴 사용법2. ... 입력 스위치(SW : Switch), 순차적인 논리 연산이나 제어를 위한 클럭(CLK : Clock) 또는 클럭펄스(CP : Clock Pulse), 실험 결과를 출력하기 위한 7-
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.24
  • 현대자동차 미국시장진출 해외마케팅전략
    경쟁사들의 판촉 경쟁심화ThreatsThreatsSWOT졸 면 에 프STP (그림)특 징유가상승으로 인해 미국소비자들이 기존 선호하던 픽업트럭과 SUV에서 소형과 중형차로 바꿈 소형(B세그먼트 ... )는 전년대비23.7%증가일본차가 미국시장에 높은 점유율 차지 자동차 시장 위기에도 쏘나타 판매량 상승대표차종럭셔리세단시장세분화중형소형아제라쏘나타,캠리아반테렉서스, 벤츠SUV싼타페엑센트대형시장은 ... ) : 대형 승용차 부문'2009 최고의 모델'선정 •2008 NHTSA 정면 및 측면 충돌 테스트 평가 별 다섯 획득•美컨슈머리포트(Consumer Report) : 소형차 부문
    리포트 | 25페이지 | 3,000원 | 등록일 2011.08.03
  • CUBLOC2
    REPORT실험요약▣실험의 목적LCD는 최근 전자 제품에서 가장 많이 사용되는 디스플레이 장치이다. 핸드폰, MP3, 자동차용 TV에서부터 FAX에도 LCD가 쓰이고 있다. ... 세븐 세그먼트와 마찬가지로 LCD모듈을 큐블록에서 직접 컨트롤하지 않고, 별도의 CLCD모듈을 사용해서 LCD디스플레이를 사용한다. ... 세븐 세그먼트와 마찬가지로 LCD모듈을 큐블록에서 직접 컨트롤하지 않고, 별도의 CLCD모듈을 사용해서 LCD디스플레이를 사용한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.01.21 | 수정일 2019.11.21
  • 현대자동차의 글로벌 경영전략
    이 자료는 현대자동차의 글로벌 경영전략에 대하여 분석, 정리한 A+ 레포트이다.II. 본론1. ... 구축7(6) 합작을 통한 유통시장의 확보와 공장설립으로 직접투자전략7III. ... JM),엑센트(MC)-2공장위에동(HD),i-30,투싼ix(LM)-1공장 상트로,i-20,쏘나타,엑센트(LC)-2공장 베르나(MC),i-10엑센트(MC),매트릭스(FC)FD, YNC세그먼트
    리포트 | 8페이지 | 4,500원 | 등록일 2013.02.05
  • 7 segment 예비 & 결과 보고서
    2,4,7,9,106은 1,2,4,7,9,107은 4,6,78은 1,2,4,6,7,9,109는 2,4,6,7,9,10vcc를 반대로 3에 연결하게 되면 예비 레포트 상에 그림 16.13을 ... 실험내용 :광소자의 특성(7-Segment)2. 실험일시 :2007년 10월 29일3. 실험목적 :7- 세그먼트(seven-segment) 표시기로 숫자를 표시한다.4. ... 실험방법① 그림 16.13(a)는 이 실험에 사용되는 7-세그먼트 표시소자에 대한 핀(pin)표현을 보여주고 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2008.11.15
  • 광소자의 특성 (예비/결과레포트)
    실험 예비 레포트1. 실험 제목 : ⑤광소자의 특성2. 실험 일시 : 2007. 10. 83. 실험 목적 : 7seven-sagment 표시기로 숫자를 표시한다.4. ... 실험 방법 :ⓐ그림 16.13(a)는 이 실험에서 사용되는 7-세그먼트 표시소자에 대한 핀(Pin) 표현을 보여주고 있다. ... 세그먼트표시기 이다.
    리포트 | 5페이지 | 4,000원 | 등록일 2008.06.15 | 수정일 2015.06.12
  • operating system concepts 8th edition 요점정리
    Operating System요점정리★LAST REPORT★1장 introduction운영체제의정의-컴퓨터 사용자와 하드웨어 사이에 중간 역할을 해주는 기본이되는 프로그램-컴퓨터 하드웨어의 ... 참조될 가능성이 높음을 의미함- 배열순례(array traversal)- 순차적 코드(sequential code)의 실행- 프로그램에서 관련된 변수들을 서로 근처에 선언하는 경우7) ... ntation)으로 인해 공간을 낭비 함※ 작업 세트(working set) 방식은 스래싱을 방지하는 방법 중의 하나이다3) 세그먼테이션 기법-세그먼트 시스템의 가상 주소는 순서쌍
    리포트 | 21페이지 | 3,500원 | 등록일 2010.12.07 | 수정일 2019.04.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대