• 통큰쿠폰이벤트-통합
  • 통합검색(184)
  • 리포트(173)
  • 자기소개서(4)
  • 시험자료(3)
  • 방송통신대(2)
  • 논문(1)
  • 이력서(1)

"7세그먼트 레포트" 검색결과 61-80 / 184건

  • 스위치 및 led 점멸 실험
    점퍼선6. 7세그먼트 (세그먼트 방식의 숫자 표시 소자로서 최대 7개의 세그먼트로 숫자를 표시하는 방식. 7개 모두 통전하면 8의 숫자가 된다.)7. ... 레포트를 쓰면서 숫자의 점등 패턴과 알파벳 B, D가 겹치는데 어떻게 표시할지 궁금했는데 소문자로 표시 한다는 것을 알게 되었다. ... 3) 검토 :실험을 통하여 7세그먼트의 동작원리에 대하여 알 수 있었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.07.15
  • 인코더 및 디코더 결과레포트
    기초전기 및 디지털회로실험REPORT실험7. 인코더 및 디코더 결과레포트제출일15.11.30분반102분반조2조이름이은영,하찬호학번201211682,201211700실험 8. ... 이 때 74LS47의 C와 D의 입력 단자는 접지시킨다.5.4 74LS47의 출력에 7-세그먼트 LED를 연결하여 0,1,2,3의 4가지 입력에 대해 7-세그먼트 LED가 숫자를 제대로 ... to 2-line)우선순위 인코더를 AND,OR,NOT 게이트를 사용하여 구성 한후, BCD/7-세그먼트 디코더 드라이버(74LS47)으로 입력하여 7-세그먼트의 출력값을 확인한다.4진수
    리포트 | 4페이지 | 1,500원 | 등록일 2016.04.05 | 수정일 2021.08.28
  • 논리회로실험 예비보고서8
    이 때 LED 하나를 세그먼트라고 하고, 이 LED가 7개 사용되므로 7-세그먼트라는 이름이 붙었다.● FND는 Flexible Numeric Display의 약자이다. ... FND507● FND507은 7-세그먼트 표시기라고 하는 소자로써, 0부터 9까지의 숫자를 표시하기 위해 만들어진 것이다. ... (d) 7447을 이용하여 0부터 9까지의 값을 7-세그먼트에서 0부터 9를 표현할 수 있도록 변환시킨다.회로결선도참고문헌-John F.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.03.09
  • 빅데이터 활용 레포트
    [Big Data 활용 Report]1.빅 데이터를 활용한 H증권AbleMAP프로젝트 추진사례SAS측의 현대증권 상황분석- 기존 DW가 구축되어 있으나 이를 활용할 수 있는 적절한 ... 주민증스캔-인감대조, 실명근거5)SNS 분석 데이터-고객 SNS 성향/선호도/태도/행동패턴-온라인 1:1 마케팅6)인터넷 사이트 사용정보-고객 사이트 이용통계-서비스 노출개선, 상품안내7) ... 구축 (고객을 분석하기 위한 분류 선정)1)자산, 수익과 손실, 공헌 이익 및 상품 등 관련성이 매우 높은 변수들을 선별2)클러스터링을 통하여 최종 11개의 기본 세그먼트를 정의함
    리포트 | 6페이지 | 2,000원 | 등록일 2017.11.15
  • 논리회로보고서 7세그먼트
    전체적인 실험에 대한 고찰 7실험 준비물Bread boardIC chip전선7-세그먼트다이오드탐 구 보 고 서목 적3비트 입력을 받아 아래의 표와 같은 7-세그먼트의 출력을 얻는 디코더를 ... REPORT━━━━과 목 명논리회로 및 실험분 반2 분반(COM203-02)실 험 날 짜2013. 06. 04담 당 교 수도재수 교수님소 속컴퓨터멀티미디어 학부학 번성 명제 출 일2013 ... 단, 7-세그먼트는 애노드 공통이다.7-세그먼트핀 배치도0*************0101110111문 제과제 02-03실험방법회로 구성도핀 배치도bar{a} = w bar{x} y
    리포트 | 7페이지 | 1,500원 | 등록일 2015.11.12
  • <조선대학교> 컴퓨터네트워크 중간고사 대비 요점정리 (A+)
    1)마지막 subnet = 211.17.180.191 ~ 211,17.180.255Error reporting message와 Query message 각각 3가지Error reporting ... MAC 주소, 프레임은 헤더(목적지 IP)와 데이터, 트레일러(오류 검출)로 구성 됨네트워크 계층 : 데이터그램, Logical addresses, IP 주소트랜스포트 계층 : 세그먼트 ... 선택하고 서버에게 매개변수를 반환하면서 응답한다.DHCP Ack : 매개변수 확인 후 클라이언트에게 IP주소를 할당한다.TCP/IP가 만들어진 이후에 뒤늦게 ISO(국제기구)가 OSI(7계층
    시험자료 | 6페이지 | 1,500원 | 등록일 2019.03.27 | 수정일 2023.01.26
  • (예) 3. 수체계
    BCD수를 디코딩 하고 7-세그먼트로 표시해 주는 디지털 시스템 구성? 모의시험용으로 결함을 만들어 놓은 회로의 고장 진단.실험의 개요? ... 표의 출력 열에 7-세그먼트 디스플레이에 보이는 결과를 나타내어라.④ 이번 실험에서는 회로에 모의실험용‘결함’을 발생시켜 출력에 미치는 효과를 관찰한다. ... MAN42 7-세그먼트 디스플레이의 핀 번호는그림에 나타나 있다. 7447A는 16핀이고 MAN72는 14핀 인 것에 주의하라.전원을 인가하기 전에 디코더의 각 출력과 MAN72입력
    리포트 | 4페이지 | 1,000원 | 등록일 2015.12.11
  • 구글애널리틱스 자격증(GAIQ) 동영상 강의 요점 정리
    참 또는 거짓: 맞춤 보고서 링크를 공유하면 보고서의 데이터도 공유하게 된다.참: 보고서의 데이터도 공유거짓: 보고서의 템플릿만 공유7. 다음 중 단일 ... 후속 방문도 사용자가 선택한 세그먼트 아래에서 계속 분류되어 각 업계에서 사용자가 귀하의 사이트에 참여한 방식을 분석할 수 있음. ... 대시보드(custom dashboard)는 중요한 측정항목 및 세그먼트를 한 곳에 모아 종합적 수준에서 쉽고 빠르게 조회할 수 있게 해주는 기능.
    시험자료 | 62페이지 | 3,000원 | 등록일 2016.07.30 | 수정일 2016.08.01
  • 센서시스템 - 로터리인코터
    이 채널은 단일 세그먼트 슬롯을 포함할 수 있으며, 장치의 . ... 센서 및 시스템 레포트- 로터리 인코더에 대해 -담당교수학과학번이름제출 날짜목차Ⅰ. 로터리 인코더 원리A. ... 이 인코더는 직경 7.6㎜의 휠을 내장하고 있으며, 고정 기구를 사용해 32극(N극 16, S극 16)의 자화 처리를 실현했다.
    리포트 | 12페이지 | 3,500원 | 등록일 2019.05.10
  • 논리 설계 및 실험, 부산대학교, 논리 설계 텀프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상)이 포함되어있고,디지털 시계의 모든 모듈이 저장되어있습니다.분주회로, 카운터, MUX, DEMUX, 7세그먼트 ... 모듈로 저장되어있고, 해당 모듈은 각기 사용가능한 형태입니다.최종 프로그램 소스는 board_on.vhd로 해당 파일만 알테라에 올리면 모든 기능 정상 동작합니다.또한 프로그램 레포트에 ... 이프로그램은 2014년에 작성되어 이번에 처음 올라오는 자료이니, 중복 걱정 안하셔도 될듯합니다..플로리안 R7으로 작성되었습니다.
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
  • 국제경영-현대자동차의 국제화 전략과 실행에 대한 분석
    유명하다해외 진출 성장의 동인*현대자동차기아자동차A세그먼트i10모닝B세그먼트i20프라이드C세그먼트i30포르테(K3)D세그먼트i40K5E세그먼트그랜저/제네시스K7E2세그먼트에쿠스K9SUV-소형투싼스포티지SUV-중형싼타페쏘렌토SUV-대형베라크루즈모하비2011년 ... 국제경영 REPORT 현대자동차의 국제화 전략과 실행에 대한 분석현대자동차의 사업구조 및 기업성과부품업체 자동차 부품제공주요재무정보200920102011매출액318,593367,694427,740당기순이익29,61534,76247,408단위 ... 포드 : 570만대 (7% 증가)현대자동차의 핵심역량핵심역량엔지니어링저렴한 가격에 비해 가치 있는 제품을 생산 저가격 고효율가격 대비 가치제품 개발생 산주기적으로 신차를 생산 제품
    리포트 | 7페이지 | 1,000원 | 등록일 2014.12.08
  • 신흥국시장의 기회와 위협
    , 러시아, 브라질46② 超국경 시장을 발굴소득, 인구특성, 종교 등에 따라 超국경 유망시장을 개발 대부분의 신흥국은 규모 면에서 단일 시장으로서의 매력이 적음 주목해야 할 3大 세그먼트 ... 신흥국이 세계 경제성장을 주도 2010~2011년 중 신흥국은 세계 경제성장의 70~80%를 담당 신흥국 경제규모는 선진국을 추월 BRICs의 GDP는 10년간 5배 증가 : 2.7조달러 ... 무역 비중신흥국 FDI7세계경제의 중안한 균형감각이 필요13신흥국시장의 매력신흥국시장의 매력 ① 중산층 소비시장: 기초소비에서 선택적 소비로 이행 ② 젊은 소비시장: 서구식 라이프스타일
    리포트 | 48페이지 | 3,000원 | 등록일 2018.04.06
  • 7 segment 예비
    전기전자 회로 응용 실험7-segment예비 레포트7-segment1. ... 사람이 획을 움직여 숫자를 표시하는 장비에서도 7세그먼트 표시 장치와 유사한 모양으로 획이 배치되어 있기도 하다.LED로 구현된 7세그먼트 표시 장치는 각 획 별로 하나의 핀이 배당되어 ... 개요7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다.
    리포트 | 3페이지 | 2,000원 | 등록일 2014.05.04
  • 아주대 인터넷프로토콜 wireshark 실습과제(과제 4 패킷분석)
    SYN+ACK 세그먼트는 데이터를 전달하지는 않지만 하나의 시퀀스 번호를 소비한다. ACK 세그먼트는 데이터를 전달하지 않는 경우에는 시퀀스 번호를 소비하지 않는다.? ... 자기계발 및 책무성: 기술적 능력을 유지, 증진하며, 훈련 또는 경험을 통하여 자격이 있는 경우이거나 관련 한계를 전부 밝힌 뒤에만 타인을 위한 기술 업무를 수행한다.7. ... 방식은 다음의 그림과 같다.SYN 세그먼트는 데이터를 운반할 수 있지만, 하나의 시퀀스 번호를 소비한다.
    리포트 | 10페이지 | 2,500원 | 등록일 2015.12.30 | 수정일 2016.06.02
  • 전자회로 실험 및 설계1 Lab6(7-Segment와 스위치 연동 프로그램 작성)
    Report7-Segment와 스위치 연동 프로그램 작성Code (코드 기술)실험1) 7-Segment에 “I LOVE YOU”가 흘러가는 프로그램 작성. ... (단, 7-Segment의 Data선은 Port 2에 연결, 선택 선은 Port 0의 0~5번에 연결)#include #define display_time 1000int string_i ... 변수 string _i 선언void initialize();void delay();void main(){// I = 0b11111001(0xf9), L = 0b11000111(0xc7)
    리포트 | 8페이지 | 1,500원 | 등록일 2013.11.25
  • 아주대 인프 이채우 교수님 wireshark 실습과제
    동료애: 동료와 협력자가 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일 ... 자기계발 및 책무성: 기술적 능력을 유지, 증진하며, 훈련 또는 경험을 통하여 자격이 있는 경우이거나 관련 한계를 전부 밝힌 뒤에만 타인을 위한 기술 업무를 수행한다.7. ... /Response)채널 탐색 과정에서 수신된 메세지들 중 수신 강도가 가장 센 채널을 선택하여 인증하는 과정을 수행한다.인증 : AP와 인증 절차의 암호 방식을 협상하는 과정.6,7
    리포트 | 14페이지 | 2,000원 | 등록일 2014.07.20 | 수정일 2014.09.21
  • 논리회로보고서 기본게이트
    준비물Bread boardIC chip전선7-세그먼트(logic unit 내 부착)3. ... REPORT━━━━과 목 명논리회로 및 실험교 수 님도재수 교수님실 험 날 짜2013 년 4 월 2 일소 속컴퓨터멀티미디어 학부학 번성 명동국대학교Dongguk university. ... 실험방법각 실험에 맞는 IC 핀 배치도를 참조하여 게이트를 선정하고 실험에 맞게 회로를 구성 한다. 7번 핀은 접지하고, 14번 핀은 +5V전압을 인가한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2015.11.12
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.역할 분담1. ... 세그먼트 (FND) 10개DM74LS47 (BCD to 7 세그먼트 디코더) 10개DM74LS90 (Binary Counters) 10개DM74LS04 (NOT 게이트) 1개74LS76A
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • 2015년 방송통신대학 경영학과(3학년) e-비즈니스 과제물 레포트
    2015년 방송통신대학 경영학과(3학년) e-비즈니스 과제물 레포트1. ... 전송단위는 세그먼트, 프로토콜은 TCP, UDP, 장비는 게이트웨이, L4스위치가 있다.5세션 계층세션계층은 양 끝단의 응용 프로세스가 통신을 관리하기 위한 방법을 제공하으로써 원활하게 ... OSI 7 Layer 모델의 각 프로토콜 계층의 명칭을 기술하고, 그 역할에 대하여 간략히 설명하시오. (20점)OSI 7 Layer모델은 프로토콜을 기능별로 나눈 모델이라고 할 수
    방송통신대 | 4페이지 | 3,000원 | 등록일 2015.08.14
  • 마이크로프로세서 프로젝트 report (8051컨트롤러를 이용한 디지털시계)
    Project Report1. ... PULSE COUNTER : 펄스 업/다운 계수기녹색 LED 아래 스위치의 입력에 따라 숫자가 카운트되어 세그먼트에 나타난다. ... 무변화#define QS7 0x07 // 01 11 S1 S2+ + 증
    리포트 | 26페이지 | 1,500원 | 등록일 2016.02.15 | 수정일 2016.06.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대