• 통큰쿠폰이벤트-통합
  • 통합검색(1,280)
  • 리포트(1,166)
  • 시험자료(54)
  • 방송통신대(36)
  • 자기소개서(20)
  • 논문(4)

"Logic 연산" 검색결과 41-60 / 1,280건

  • 컴퓨터구조및설계 복습문제
    기억장소들을 결정하는데 필요한작업들을 포함한다.- 산술논리연산장치(ALU)어떤 명령어에 의해 지정된 연산을 수행한다.- 적재/저장 논리(load/store logic)데이터를 캐시에 ... 코어의 주요 구조적 요소들을 열거하고 간략히 정의하라.- 명령어 논리(instruction logic)이 회로는 명령어들을 인출하고 각 명령어를 해독하여 명령어 연산과 오퍼랜드들의 ... 컴퓨터의제어 장치, 혹은 그 기능을 내장한 칩을 말한다.- 코어(Core)각종 연산을 하는 CPU의 핵심요소이며 CPU안에서 일하는 부품을 말한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.30
  • 디지털시스템실험 2주차 예비보고서
    주변에 입출력용 I/O블록이 있고 내부에 소형 Logic Cell이 매트릭스 모양으로 배열되고 Logic Cell간에 배선영역이 있다. ... 연산자Verilog의 연산자는 산술 연산자, 관계 연산자, 논리 연산자, 시프트 연산자 등이 있다. ... 논리 연산자 중 비트 단위의 연산자는 다음과 같다.연산자의미&비트 AND|비트 OR~비트 NOT^비트 XOR^~, ~^비트 XNOR?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 성균관대학교 일반대학원 반도체시스템공학과 학업계획서
    데이터 전송의 중첩 연구, 이중 게이트 구조의 재구성 가능한 피드백 전계 효과 트랜지스터의 Logic-in-Memory 특성 연구 등을 하고 싶습니다.저는 또한 에너지 효율적인 웨어러블 ... 세그먼트 정리 저널링 연구, 고대역폭 메모리(HBM)의 최적화 연구, 강력한 메모리 보호를 위한 빈 공간이 없는 효율적인 ECC 연구, Demand MemCpy: 이기종 컴퓨팅을 위한 연산
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.08.09
  • Verilog HDL을 이용한 Mu0 프로세서 구현 프로젝트 (코드, ModelSim결과 포함)
    하며, ALU에서 출력된 연산 결과를 저장하고, memory로 전달하는 역할을 한다. ... 가능하다. address입력을 받아 rnw신호에 따라 값을 저장할지 읽을지 결정하며 control logic에 의해 제어된다.Control logic: 모든 register들의 동작을 ... Control logic에 의해 주어진 신호 acc_oe, acc_ce에 따라 어느방향으로 출력을 전달할지 결정하며 acc_15 그리고 acc_z신호를 추출하여 control logic
    리포트 | 16페이지 | 8,200원 | 등록일 2021.01.03
  • [A+]중앙대 마이크로프로세서응용회로설계실습 과제 FND (6주차)
    예를 들어서 0x37 & 0xF 연산을 하면 결과는 0x7이 나온다.b. logic()에서, 입력받은 값에서 ‘0’을 빼는 이유는 무엇인가? ... 비트 연산 이후 shift 연산을 통해 비트 연산 한 앞의 4개 비트를 다시 뒷자리로 보내서 다른 FND에 대한 값을 얻을 수 있다. ... argv[]에 입력 받은 값은 문자열 이기 때문에 정상적인 숫자 연산이 불가능하다. 연산을 하면 문자는 ASCII code에 맞는 십진수로 바뀐다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.10.09
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    우리는 그런 것을 고려하지 않고 덧셈을 하기를 원하기 때문에 unsigned 타입의 연산을 해야한다. std_logic_vector의 unsigned 덧셈은 std_logic_unsigned에 ... 그런데 입력 신호를 std_logic이 아닌 unsigned형으로 해보려고 하니 xor, and, or 등의 연산이 정의되어 있지 않다는 오류 메시지가 떴다. conv 함수를 이용해보려 ... 주제 배경 이론ALU는 CPU에서 연산을 담당하는 소자로 제어신호 발생기에서 제어신호를 발생시키면 디코더를 거쳐서 어떤 동작을 할지, 즉 산술, 논리 등의 연산을 하고 결과 값을 레지스터에
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • pipeline 8bit CLA 설계 프로젝트 A+ 자료
    위의 과정에서 사용된 P값과 BCLU, CLU를 통해 계산된 Carry 값들을 모아 XOR 연산 을 해주어 총 계산된 값을 얻어낸다. ... 제일 처음 PGU logic에 들어가게 되는데, 각각 P와 G를 만들어 내게 된다. ... 구성도를 보면 각각 Logic BOX에서 출력되는 bit의 수가 다르다는 것을 알 수 있다.
    리포트 | 9페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 예비보고서
    ◆ 목 적(1) ALU(Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 이용하여 4비트 ALU를 설계하고 시뮬레이션한다 ... BXOR11E = A보수(2) 논리 연산회로 시뮬레이션 : 논리 연산회로의 동작 확신을 위하여 논리 연산회로 시뮬레이션을한다. ... .◆ 이 론(1) ALU의 기능과 구조 : ALU는 산술 연산회로와 논리 연산회로로 나누어진다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • [실험레포트] 마이크로컨트롤러 비트 연산자를 이용한 GPIO 제어하기
    C언어에서 사용되는 비트 연산자와 논리 연산자에 대해서 각각 설명하세요.※ 논리 연산자(logical operator) ???? ... - 비트 단위로 논리 연산을 수행하는 연산자 이다.- C 언어의 비트 연산자는 어셈블리 언어에서만 가능했던 프로그램 표현을 C언어에서도 가능하게 한다.- 비트 연산자의 종류종 ??? ... -논리 연산자는 논리합(OR), 논리곱(AND), 논리부정(NOT) 등의 연산을 할 때 사용된다.-이 연산자는 프로그램 사에서 조건 제어와 반복 제어 명령에서 많이 사용된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2019.11.17
  • 정보통신기초실습 4주차 결과보고서
    즉,논리연산(logical operation, 혹은 Boolean expression)에서 distributive law가 성립함을 간단한 회로를 PsPice로 동작해봄으로써 알 수 ... 또한, 논리연산의 여러 법칙 중 distributive law를 증명할 수 있었다. ... 이 말은 (A+B)(A+C)=A+BC 즉, 두 논리가 동등하다는 것을 의미한다.이 두 실험을 통해 우리는 결과적으로 회로의 논리연산에 distributive law가 성립한다는 결과를
    리포트 | 4페이지 | 1,000원 | 등록일 2021.07.05
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    , std_logic_vector 자료형과 + 연산을 위한 패키지 선언.5~9)비동기 신호인 nRst와 clk 선언. ... , std_logic_vector 자료형과 + 연산을 위한 패키지 선언.5~10)비동기 신호인 nRst와 clk 선언. 60진 이므로 십의 자리와 일의 자리 숫자 출력을 별도로 포트 ... 이 모든 것을 FND로 출력되게 하면 완성된다.2.소스코드 설명1~2)std_logic과 std_logic_vector를 쓰기위한 패키지 선언.4~18)리셋을 위한 nRst와 클럭
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 부경대 컴퓨터공학 프로그래밍 언어론 텀프로젝트(lexical analyzer와 Parser작성, mini-C)
    영어 소문자와 대문자, 그리고 숫자를 ID로 정의한다.어휘 분석을 위한 Rule section에서는 각 연산자 기호를 만났을 때 어떤 것으로 치환할지를 입력해준다. ... : logical_and_exp { $$ = $1; }| logical_or_exp '||' logical_and_exp {appendNext($1, $3);$$ = buildTree ... (LOGICAL_OR, $1);};logical_and_exp: equality_exp { $$ = $1; }| logical_and_exp '&&' equality_exp {appendNext
    리포트 | 27페이지 | 10,000원 | 등록일 2021.01.12 | 수정일 2023.06.23
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    실험 12. 4-비트 산술논리회로(예비보고서)실험 목적(1) ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 ... 이용하여 4비트 ALU를 설계하고 시뮬레이션을 한다.이론ALU는 산술 연산회로와 논리 연산회로로 나누어진다. ... 시뮬레이션 결과를 인쇄하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트 논리 연산회로를
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • u Processor 설계
    ALU란 Arithmetic and Logic Unit의 약자로서 말 그대로 산술연산, 논리연산 및 시프트를 수행하는 중앙처리장치 내부의 회로 장치이다. ... 코드 및 설명출력 결과ALU (Arithmetic and Logic Unit)--------------------------p.12ALU 란? ... 이번 Processor 에서는 4개의 Register를 중간 결과를 일시적으로 기억해두는 레지스터로 사용한다.코드 및 설명출력 결과ALU (Arithmetic and Logic Unit
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • NAND게이트 자세히 설명하고 NAND게이트를 사용하는 이유 NAND 와 NOR 게이트로 회로를 구성하는 경우가 많은데 어떤 점 때문인지
    이 말은 NAND 게이트만으로 모든 가능한 논리 연산을 수행할 수 있다는 의미이다[2]. ... Kinney, "Fundamentals of Logic Design," 7th Edition, Cengage Learning, 2013.[5] N. H. E. ... 대한 설명 및 사용 이유NAND 게이트는 디지털 로직 회로에서 사용되는 기본 게이트 중 하나로, 두 개 이상의 입력이 모두 1일 때만 출력이 0이 되는 부정논리곱(Not AND) 연산
    리포트 | 3페이지 | 2,500원 | 등록일 2023.04.05
  • Chap9. PLC응용실험
    Diagram)을 작성하여 수행한다.이론적 배경래더 로직 다이어그램 (Ladder Logic Diagram)래더 다이어그램은 프로그램 가능 제어기의 언어이다. ... PLC(Programmable Logic Controller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터 제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 ... NEMA(미국 전기공업협회)의 정의로는 ‘기계나 프로세서를 제어하기 위해 논리 시퀀스, 카운터, 타이머, 산술연산 기능을 첨가시킨 디지털 전자장치’로 PLC를 규정하고 있다.PLC의
    리포트 | 5페이지 | 1,000원 | 등록일 2020.10.05
  • 논리회로설계 실험 기본게이트 설계
    1) CPLD(Complex Programmable Logic Device)Complex Programmable Logic Device의 약자로 PAL과 같은 내부 로직 블록을 여러 ... 주로 부울대수, 함수, RTL또는 연산자(AND, OR 등)를 사용하여 입력으로부터 출력까지의 경로 표현을 위주로 한다. ... CPLD 구조를 살펴보면 내부 여러 개의 LAB(Logic Array Block)와 LAB의 연결선인 PIA으로 되어 있으므로 몇 개의 매크로셀(macrocell)로 구성된다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 기계공학응용실험 보고서 'PLC실험'(A+) - 부산대학교 기계공학부
    논리표현을 구성하기 위해 단지 세 개의 연산자가 필요하다. ... PLC(Programmable Logic Controller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 ... 컨베이어 시스템에서 물체의 특성에 따라 센서의 입력을 달리하여 입력의 상태에 따라 공압 밸브를 이용해 물체를 분류하는 실험을 PLC 로직 프로그램인 래더 로직 다이어그램(ladder logic
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.21 | 수정일 2020.12.26
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    , 주파수 분주기, 타이밍 제어신호 생성 등에 활용.- 동기식 계수기는 모든 플립플롭이 공통 클럭에 의하여 구동되어 설계가 용이하고 동작이 빠름.- Verilog HDL의 +/- 연산자를 ... Pre-reportSequential Logic 1날짜 :학번 :이름 :1. Introduction가. ... 실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 스마트폰 앱의 논리적 이해 8번 리포트
    단, 실제 연산 결과는 다음의 표에 있는 “결과 값”과 동일합니다.위치[Built-in]-[Math]에 있는 관계연산자 블록[Built-in]-[Logic]에 있는 관계연산자 블록결과 ... 다음 표에 있는 연산식 10개의 연산 결과를 출력하는 앱인벤터 프로그램을 작성하세요. ... 논리연산자 블록컴포넌트의 속성 값이나 변수 값을 true 부여할 때 사용되는 블록 이름은?논리연산자 블록a를 b로 나눈 몫을 구할 때 사용되는 블록 이름은?
    리포트 | 25페이지 | 2,000원 | 등록일 2022.11.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대