• 통큰쿠폰이벤트-통합
  • 통합검색(1,280)
  • 리포트(1,166)
  • 시험자료(54)
  • 방송통신대(36)
  • 자기소개서(20)
  • 논문(4)

"Logic 연산" 검색결과 61-80 / 1,280건

  • LG전자 자기소개서
    Logic design 단계에서는 Verilog를 사용하여 연산 block들을 구성했습니다. Timing 문제가 발생했지만, test bench를 활용하여 오류를 고쳤습니다. ... 내장해 딥러닝 처리 성능을 개선했다고 밝혔습니다.저는 LG전자에 입사해 제 역량을 바탕으로 현재의 인공지능 관련 기술 수준에서 개선해야 할 점이나 새로운 기술 제안서 등을 통해 연산
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.03.12
  • 디지털공학개론 - 디지털 공학을 설명하고 2-입력 부울함수를 사용하여 2-입력 부울함수 곱셈을 구현하시오
    Kinney, "Fundamentals of Logic Design", Cengage Learning, 7th Edition, 2013.William H. ... 디지털 공학은 이러한 논리 연산을 통해 복잡한 연산을 간단하고 효율적으로 구현할 수 있는 방법을 제공한다.2.2 2-입력 부울함수 개념2-입력 부울함수는 두 개의 입력값을 가지는 부울 ... 결론디지털 공학은 현대 기술의 기반을 이루는 중요한 학문으로, 부울 대수를 통해 복잡한 논리 연산을 간단하게 구현할 수 있다. 2-입력 부울함수는 이러한 논리 연산의 기본 요소로,
    리포트 | 5페이지 | 2,500원 | 등록일 2024.07.10
  • A+ 기계공학 기초실험 TTL-Logic 실험 예비레포트 (예비 보고서)
    AND로, AND 연산은 OR로 바꾸고 각 변수를 보수화한다. ... 과제 1 : 기본 논리 게이트 (Logic Gate - AND / OR / NOT / NAND / NOR / XOR)의 이름과 기호를 작성하고, 각각의 입출력의 개수를 정리하여라. ... 사이의 진리표 관계를 대수 형식으로 표시하기가 더 용이하여 동일 기능을 가진 회로는 더 간단하게 설계가 가능하다.부울 대수 법칙은 다음과 같다.드 모르간 정리는 수식에서 모든 OR 연산
    리포트 | 4페이지 | 1,000원 | 등록일 2020.10.22
  • 기계공학응용실험 A+, 9장 PLC 응용실험
    논리표현을 구성하기 위해 단지 세 개의 연산자가 필요하다. ... PLC (Programmable Logic Contorller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 ... 컨베이어 시스템에서 물체의 특성에 따라 센서의 입력을 달리하여 입력의 상태에 따라 공압밸브를 이용해 물체를 분류하는 실험을 PLC 로직 프로그램인 래더 로직 다이어그램(ladder logic
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.03
  • 건국대학교 전기전자기초실험1 12주차 예비보고서 A+
    .→ 종류 : RTL(Resistor-Transistor Logic), DTL(Diode-Transistor Logic), TTL(Transistor-Transistor Logic) ... 기호(symbol), 진리표를 조사하시오.AND 게이트는 두 개 혹은 그 이상의 입력들에 대하여 AND 연산을 수행하고, 그 결과값을 출력으로 발생하는 전자회로이다. ... 그렇게 되면 Q2는 포화 상태가 되어 콜렉터와 에미터 간에 전류가 흐르므로, 출력 F-0V가 되는 NAND 연산이 이루어 지는 것이다.(2) AND, OR, NOT 게이트 논리회로의
    리포트 | 24페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • [방송통신대학교]컴퓨터구조_출석수업_평가과제(30점 만점)
    따라서 (R5 + R6) 연산과 관련해서 ALU를 동작시킬 수 있는 선택신호의 2진 조합은 ‘0010’이 된다.⑤ H필드 : 주어진 마이크로 연산에서 shr은 시프트 명령어 'Logical ... 기본적인 연산으로, 처리장치의 동작원리를 이해하기 위해서는 마이크로 연산을 이해해야 한다.2) 제어단어처리장치내에서 수행되는 마이크로 연산을 선택하는 변수인 선택신호들의 묶음을 제어단어 ... 따라서 (R7 + 1) 연산과 관련해서 ALU를 동작시킬 수 있는 선택신호의 2진 조합은 ‘0001’이 된다.⑤ H필드 : 주어진 연산에서는 시프트 없이 전송을 해야 하기 때문에 ‘
    방송통신대 | 6페이지 | 3,000원 | 등록일 2023.04.09
  • [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계
    입력 Y값의 초기값은 “1001”, 5ns간격으로 srl(shift right logical) 연산 동작을 사용하여 반복 변경? ... 1bit fulladder 설계 시 XOR 연산 사용 금지4. ... 사용을 금지했으므로EXOR = XY +X’Y’와 같이 XOR 연산을 signal로 정의하여 연산을 수행했다.3) 4bit Full Adder의 VHDL 소스 코드(주석문 포함)그림
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.14
  • (Jelly) A+ 기초전기전자실험 PLC 제어
    따라서 PLC는 어느 한 순간을 포착해 보면 한 가지 일 밖에 하지 않는다.③반복 스캔처리 연산방식PLC는 컴퓨터 연산방식과 달리 반복 스캔처리 연산 방식을 사용한다. ... 보고서기계공학실험 기계공학부0 000 교수님0000000000 000PLC 제어1.실험 목적- CNC(Computer Numerical Control)와 PLC(Programmable Logic ... 이용하여 belt-conveyer로 이동시킨 후사용 여부를 판단하여 운반하는 각각의 간단한 프로그램을 작성할 수 있는 능력을 배양한다.2.PLC의 정의PLC(Programmable Logic
    리포트 | 10페이지 | 2,500원 | 등록일 2020.04.08 | 수정일 2020.05.27
  • 논리회로실험 A+예비보고서 3 Adder & Subtracter
    실험 목적-Logic gate를 이용하여 가산기(Adder)와 감산기(Subtracter)를 구성할 수 있다. ... 전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로로 설계되어 다양한 기능을 가진다.2) 반가산기(Half Adder)-이진수의 덧셈에서 맨 오른쪽 한자리의 연산
    리포트 | 7페이지 | 1,000원 | 등록일 2020.10.09
  • 홍익대 디지털논리실험및설계 6주차 예비보고서 A+
    Logic Unit), 두 개의 4비트 문자를 입력받고 16가지의 논리 연산과 16가지의 산술 연산을 수행하여 4비트의 출력값을 내보낸다. ... 이 16가지의 논리 연산과 산술 연산은 M, S0, S1, S2, S3 총 5가지로 선택할 수 있다.다음은 ALU 74181의 구조도와 수행할 수 있는 연산들이다.A0’~A3’, B0 ... 따라서 두 개의 네 자리 이진수를 입력한 후 XOR 논리 연산을 수행하여 출력값이 0000이 나오는지 확인하는 방법을 이용한다.실험 준비 (1)에 첨부한 사진을 보면, XOR 연산
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 경영학과 학생이 갖춰야할 Intelligence 분석
    계산, 정량화, 명제 및 가설을 고려하고 완전한 수학적 연산을 수행하는 능력입니다. ... 이러한 intelligence의 특성은 logical-mathematical과 Interpersonal intelligence 능력을 갖추어야 한다.logical-mathematical은 ... 이런 지능을 test하기 위해서는 가장 중요한 것은 Basic logical intelligence가 될 것이다.
    리포트 | 2페이지 | 5,000원 | 등록일 2020.10.06 | 수정일 2020.10.08
  • Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트
    관련 이론- half adder반가산기는 이진수의 한 자릿수를 연산하고, 자리올림수는 자리올림수 출력에 따라 출력한다. ... negedge를 붙여서 edge에 따라 always 문이 수행되게 만드는 것이다.always @ (a or b)3) assignassign statement는 combinational logic에서 ... AND, OR, NOT의 세 가지 종류의 게이트로 구성할 수 있다.[1]- full adder전가산기는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서 1
    그 중 산술연산에는 가산, 감산, 승산, 제산이 있는데 각 산술을 요약하면 다음과 같다.- 가산 기능 : 가산기(adder)라 불리는 논리 회로에 의해 실행되고 두 수와 자리 올림 ... 대표적으로 비교(comparison), 산술 연산(arithmetic operation), 디코딩(decoding), 인코딩(encoding), 계수(counting), 기억(memory ... 3-input NAND gate(74HC10)Logic diagramFunction tablePin configuration?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 논리회로실험 A+결과보고서 3 Adder & Subtracter
    고찰이번 실험은 여러 가지 기본적인 Logic gate를 이용하여 가산기와 감산기를 구성해 보는 것이었다. ... 전반적으로 실험의 난이도가 어렵지 않았고, 이전에 배웠던 컴퓨터가 계산하는 방법인 이진수 기본 연산이었기 때문에 이해가 쉬웠다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.10.09
  • 쉽게풀어쓰는 C언어 연습문제 4장
    ③① _number② sales_expectation③ 1st_number④ Logical2. ... 달리 오차가 발생할 수 있다.③ 정수 연산과는 달리 오버플로우는 발생하지 않는다.④ 정수보다 훨씬 큰 범위의 숫자를 표현할 수 있다.⑤ float는 48비트로 실수를 표현한다.12 ... 3.141502e0 ( b ) 716.532 : 7.16532e211 부동 소수점형에 대한 설명 중 잘못된 것을 모두 골라보자. ①, ③, ⑤① 일반적으로 정수 계산보다 빠르다.② 정수 산술 연산과는
    리포트 | 4페이지 | 2,000원 | 등록일 2023.08.14
  • [A+]중앙대학교 아날로그및디지털회로설계실습 4-bit Adder 회로 설계 예비보고서
    서론부울 대수는 변수, 상수, 연산자, 기본 공리 및 정리로 구성되어 있 다. ... AND로 결합하여 결과가 ‘1’이 되게 함⦁ Maxterm : 각 변수를 OR로 결합하여 결과가 ‘0’이 되게 함2) Sum of Minterm, Product of Maxterm과 Logic
    리포트 | 7페이지 | 1,000원 | 등록일 2021.09.02
  • [건국대학교 전기전자기초실험1 A+][2024 Ver] 12주차 - 예비레포트
    .→ 종류 : RTL(Resistor-Transistor Logic), DTL(Diode-Transistor Logic), TTL(Transistor-Transistor Logic) ... 기호(symbol), 진리표를 조사하시오.AND 게이트는 두 개 혹은 그 이상의 입력들에 대하여 AND 연산을 수행하고, 그 결과값을 출력으로 발생하는 전자회로이다. ... 그렇게 되면 VT 2는 포화 상태가 되어 콜렉터와 에미터 간에 전류가 흐르므로, 출력 F-0V가 되는 NAND 연산이 이루어 지는 것이다.(2) AND, OR, NOT 게이트 논리회로의
    리포트 | 18페이지 | 5,000원 | 등록일 2024.08.10
  • SK하이닉스 양산기술 합격 자기소개서
    또한 기본적인 논리연산도 모르던 저였기에 강의를 녹음하며 이해가 되지 않았던 부분을 복습하고 따로 digital logic과 microprocessor의 기초를 공부하며 7개의 논리연산이 ... 그 다음은 Register와 Memory를 구현하는 것이었습니다. instruction signal로 data load와 store의 과정과 구조 이해가 필수적이었으며 logical
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • 세종대학교 2019-2 영상처리 중간고사 정리본(A+)
    Logical operation ◀화소의 값에 ①AND ②OR ③XOR ④NOT 연산을 수행하는 기법 (bit operation)AND : 원하는 비트를 선택적으로 0으로 만드는 ... ▶ 산술연산 ◀화소에 일정한 값을 ①더하거나 ②빼거나 ③나누거나 ④곱하는 연산화소에 일정한 값을 더하는 연산일 경우, 영상을 밝게, 빼는 연산일 경우 영상을 어둡게 해 줌(0=검정, ... 255=흰색이므로)∵ 덧셈/뺄셈 연산이 영상의 명암 대비를 증가시키지는 X곱셈 및 나눗셈 연산은 영상의 명암 대비를 조절즉, 1.2를 곱셈 연산을 할 경우 영상의 명암 대비는 증가하고
    시험자료 | 12페이지 | 3,000원 | 등록일 2020.08.25 | 수정일 2022.02.11
  • 충북대 디지털시스템설계 결과보고서1
    값을 대입하고 마찬가지로 Co에는 각 입력 값을 AND 연산한 후 OR 연산한 값을 대입한다. ... {i}Structural modelinginput은 A, B, Ci이고 output은 S, Co, 신호 사이의 연결인 wire는 s1, c1, c2, c3이다. primitive logic ... output은 S, Co이나 Structural modeling과 달리 boolean equation으로 기술한다. boolean equation을 통해 S에 A와 B, Ci를 XOR 연산
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대