• 통큰쿠폰이벤트-통합
  • 통합검색(1,280)
  • 리포트(1,166)
  • 시험자료(54)
  • 방송통신대(36)
  • 자기소개서(20)
  • 논문(4)

"Logic 연산" 검색결과 121-140 / 1,280건

  • 디지털 논리회로의 전압특성과 지연시간 예비레포트
    실험 이론모든 디지털 기기들은 디지털 논리회로에서 배운 부울대수(Boolean Algebra)의 AND, OR, NOT의 3개로 연산하는 장치이다. ... 이 3가지 동작들을 결합하면 NAND, NOR, Exclusive OR, Multiplexer, Exclusive NOR, Flip-Flop 등과 같은 확장된 논리연산과 저장기능을 ... 그리고 높은 전압을 논리 1로 처리하는 것을 정의 논리(Positive Logie)라 하고, 낮은 전압을 논리 1로 처리하는 것을 부의 논리(Negative Logic)라고 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.28
  • 서울시립대 전전설2 Lab-06 예비리포트 (2020 최신)
    베릴로그를 통해 계수기를 만들 경우 +, - 연산자를 통해 쉽게 모델링 가능하다. ... 전자전기컴퓨터설계실험Ⅱ예비리포트Lab-06 Sequential Logic 1작성일: 20.10.161. ... 배경이론 및 사전조사대표적 sequential logic 중 하나인 플립플롭에는 SR플립플롭, D플립플롭, JK플립플롭 등이 있다. 먼저 SR플립플롭에 대해 알아보자.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    베릴로그를 통해 계수기를 만들 경우 +, - 연산자를 통해 쉽게 모델링 가능하다. ... 전자전기컴퓨터설계실험Ⅱ결과리포트Lab-06 Sequential Logic 1작성일: 20.11.011. ... 배경이론 및 사전조사대표적 sequential logic 중 하나인 플립플롭에는 SR플립플롭, D플립플롭, JK플립플롭 등이 있다. 먼저 SR플립플롭에 대해 알아보자.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    실제로 수행하는 연산은 4-bit의 add이지만 이를 위해 이 실험은 기본 breadboard에 구현하였다면, 상당히 많은 공간을 차지하며, 복잡도도 상당하여 꽤나 골치 아픈 실험이었을 ... 이때, logic cell은 4-input LUT(Look Up Table)를 이용해 만들 수 있는 로직의 수라고 한다. ... Post-Lab Report- Title: Lab#2 Schematic Design with Logic Gates담당 교수담당 조교실 험 일학 번이 름1.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 컴퓨터구조 ) 전자계산시의 논리회로에서 조합논리회로와 순서논리회로의 차이점을 제시하고 구조 및 특징을 제시하시오.
    서론전자공학에 있어 논리회로(logic gate)란 불 대수를 물리적 장치로 구현한 것으로, 여러 개의 논리적 입력값에 대하여 연산을 수행함으로써 하나의 출력값을 얻는 전자회로를 의미한다 ... 본론(1) 조합 논리회로조합 논리회로란 입력 신호만을 이용하여 출력을 결정하는 회로로, AND, NOT, OR, XOR 등을 기본으로 하여 논리 연산을 수행하는 것을 의미한다.
    리포트 | 5페이지 | 5,000원 | 등록일 2023.01.25
  • 서울시립대학교 전전설2 4주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 Combinational Logic을 설계 및 실험한다. 연산 로직, 비교기 등을 설계한다. ... Pre-Lab Report- Title: Lab#04 Combinational Logic 1(Arithmetic Logic and Comparator)담당 교수담당 조교실 험 일학 ... /Verilog_Always_and_Initial" https://en.wikibooks.org/wiki/Programmable_Logic/Verilog_Always_and_Initial
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • [LX세미콘] [R&D digital design] [학사 채용연계형 인턴] 22하 합격 자기소개서
    및 역량, 관심사항 등 자신을 어필할 수 있는 내용을 기반으로 자유롭게 기술해주시기 바랍니다.(967/1000)Digital design 직무는 개발하려는 제품의 spec에 맞게 logic을 ... int 8의 형태로 양자화하고, 정확도를 나타내는 지표인 mAP을 높이는 과정이 필요했습니다.소프트웨어 상의 quantized model과 매칭시키기위해 DSP를 활용하여 병렬 연산처리에
    자기소개서 | 3페이지 | 4,500원 | 등록일 2022.12.26 | 수정일 2023.01.06
  • SK하이닉스 Solution SW 직무 합격 자기소개서
    총 8개 팀이 있었기 1등 하는 것은 쉽지 않다고 생각했습니다. 1프로젝트는 Logic works를 이용한 전자계산기 설계였습니다. ... 기본적으로 4자리의 사칙연산만 가능한 전자계산기가 주어지고 기능을 생각해서 추가하는 프로젝트였습니다. ... 팀원과 회의를 통해 논리회로는 2진수로 이루어지기 때문에 8진수 변환기와 지수함수와 팩토리얼, 순열, 조합 연산을 구현했습니다.
    자기소개서 | 7페이지 | 3,000원 | 등록일 2023.02.10
  • 서울시립대학교 전전설2 7주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    두개의 always문에서 i를 “++”연산과 “—" 연산을 수행하려고 계획하였으나, 하나의 always 문에서 변경된 변수는 다른 always문에서 변경이 불가능하여 count라는 ... Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 Sequential Logic을 설계 및 실험한다. ... Pre-Lab Report- Title: Lab#07 Sequential Logic 2(Finite State Machine)담당 교수담당 조교실 험 일학 번이 름목 차1.
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 산술논리연산회로 실험보고서
    logic unit)에 의해 통제된다. ... 연산코드는 수행해야 할 연산이 무엇인지를 ALU에게 알려주며, 연산자는 그 연산을 위해 사용된다. ... 실험목적본 실험을 통해 산술논리연산회로에 대해 알아본다.산술논리연산회로를 구현하여 산술연산회로 동작을 확인해본다.산술논리연산회로를 구현하여 논리연산회로 동작을 확인해본다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.26
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서3
    Logic gate를 이용하여 반가산기, 전가산기, 반감산기, 전감산기를 구성할 수 있다.3. ... 그 때 여러 가지 산술 연산을 만나게 되는데, 그 중 가 장 기본적인 산술연산은 두 비트의 덧셈연산이다. 이러한 간단한 덧셈은 4가지 가능한 기본 연산들로 구성된다. ... 처음 3개의 연산은 한 디지트로 된 합을 산출한다. 그런데 피가수 와 가수가 둘 다 1일 때 그 합은 2개의 디지트로 구성된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    wiki/Liquid-crystal_display" https://en.wikipedia.org/wiki/Liquid-crystal_display - LCDfundamentals of logic ... 이 process 에서는 주어진 값을 쓰는 것 이외에, 값끼리 서로 연산하면 오류가 발생한다.2. process(FPGA_RSTB, CLK)-값 연산 process입력된 값들을 연산하는 ... 통해 입력받고, 버튼이 눌러짐에 따라 연산한다.
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 컴퓨터의이해 2022] 홈네트워킹과 스마트홈 메타버스 마이크로프로세서 웨어러블 기기 중 한 가지를 선택 입력장치 출력장치 매트릭스 코드 중 QR코드를 포함하여 두 가지를 조사 QR코드를 만들어 보고서에 첨부
    ALU(연산 장치)는 명령을 실행하기 위한 최소한의 연산을 수행하는 장치로, 산술 연산, 논리 연산, 비트 연산 등 다양한 실제 연산을 수행한다. ... 마이크로프로세서의 구조는 CPU에 해당하는 ALU(Arithmetic Logic Unit), 레지스터, CU(Control Unit)로 구성된다. ... 마이크로프로세서 중에서 가장 복잡하고 고성능인 제품이 컴퓨터의 연산장치로 사용된다.?
    방송통신대 | 10페이지 | 5,000원 | 등록일 2022.03.11
  • PLC제어 ) 1. 우리 주변에서 PLC제어가 사용된 장치, 설비, 기계, 시스템 등을 확인하여 2. 각 장치들이 어떠한 조건을 가지고 동작하는지 검토한다.
    PLC(Programmable Logic Controller)와 공중통신망을 이용한 하천수위감시시스템 구축 사례 연구. ... 여기에서 사용되는 제어 연산장치는 PLC, DSC이다.제어연산장치로 PLC로, 감시조작설비로 산업용 PC에 전용 MMI 프로그램을 탑재하는 PLC+PC(SCADA)로, 플랜트 감시제어시스템용으로 ... 감시시스템의 제어연산장치로 이용되는 PLC는 CCTV나 각종 센서에서 문제가 터지면 이를 제어하여 출력하여 해당 장소에서 조치를 취하게 된다.3.
    리포트 | 11페이지 | 5,000원 | 등록일 2021.08.10
  • Full adder VHDL 실습보고서(전가산기)
    bit-binary-adder-subtractor/" https://www.geeksforgeeks.org/4-bit-binary-adder-subtractor/fundamentals of logic ... Full adder는 x와 y와 ci의 xor연산을 통해 계산되고, 이것은 2진수 덧셈연산과 동일하다. ... Result wave를 기반으로한 데이터표m이 0일때는 x+y의 연산이 수행되고, m이 1일때는 x-y의 연산이 수행되었다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(예비) / 2021년도(대면) / A+
    연속 할당문의 지연값 지정- assign 뒤에 지연 연산자(#)를 사용하여 지정- 우변 피연산자 값의 변화에서부터 그 값이 좌변에 할당되기까지의 시간 간격을 지정(4) Procedural ... Pre-reportCombinational Logic 1날짜 :학번 :이름 :1. Introduction가. ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.나
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    best-stopwatches.html" https://nymag.com/strategist/article/best-stopwatches.html - stopwatchfundamentals of logic ... VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데, 각 자리 숫자가 나타나는 시간 간격이 매우 짧아(50us) 우리 눈에는 동시에 모든 자리 숫자가 연산 ... 하지만 50us는 매우 짧은 순간이기 때문에, 순차적으로 연산이 이루어져도, 우리 눈에는 모두 동시에 연산 되어 시간을 표시하는 것처럼 보인다.2-3)Process(data) - 숫자가
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • DB하이텍 자기소개서
    Logic design 단계에서는 Verilog를 사용하여 연산 block들을 구성했습니다. Timing 문제가 발생했지만, test bench를 활용하여 오류를 고쳤습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.03.12
  • 전자전기컴퓨터설계실험2(전전설2) (1) TTL Gates Lab on Breadboard
    TTLTTL은 Transistor-Transistor Logic의 약자로 1961년 TRW의 James L. ... TTL은 DTL(Diode-Transistor Logic)의 개량품으로 1970년대에 TI 사의 표준 논리 IC 종류에 의해 널리 보급되었다.표준 시리즈부터 고속용, 저소비 전력용, ... Adder가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다.
    리포트 | 19페이지 | 2,000원 | 등록일 2019.10.06 | 수정일 2021.04.29
  • 공통 과제 - 다음 두 과제를 모두 작성하라
    현대의 컴퓨터 설계에서 제어 장치는 전반적인 역할과 동작 방식은 변경되지 않은 채로 일반적으로 CPU 내부에 포함되어 있다.③ 산술논리장치 (算術論理裝置, arithmetic logic ... unit)는 두 숫자의 (덧셈, 뺄셈) 산술연산과 (배타적 논리합, 논리곱, 논리합) 논리연산을 계산하는 디지털 회로이다. ... 기본 구성으로는 CPU에서 처리할 명령어를 저장하는 역할을 하는 프로세서 레지스터, 비교, 판단, 연산을 담당하는 산술논리연산장치(ALU), 명령어의 해석과 올바른 실행을 위하여 CPU를
    방송통신대 | 7페이지 | 5,900원 | 등록일 2021.05.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대