• 통큰쿠폰이벤트-통합
  • 통합검색(1,280)
  • 리포트(1,166)
  • 시험자료(54)
  • 방송통신대(36)
  • 자기소개서(20)
  • 논문(4)

"Logic 연산" 검색결과 81-100 / 1,280건

  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 half, full, 4-bit adder
    -full adder이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다. ... 앞에 posedge 또는 negedge를 붙여서 edge 에 따라 always 문이 수행되게 만드는 것이다.3) assignassign statement는 combinational logic에서 ... 관련 이론-half adder이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력에 따라 출력한다. and, or, not의 세 가지 종류의 논리회로만으로 구성할 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • [기초전기전자실험] 실험 20 PLC 제어 실험보고서
    시퀀스 제어, 산술 연산, 논리 연산, 함수 연산 등의 데이터 처리가 가능한 자율성이 높은 장치이다.3. ... PLC 제어1.실험 목적- CNC(Computer Numerical Control)와 PLC(Programmable Logic Controller)의 구조를 이해하고 CNC로 형상을 ... belt-conveyer로 이동시킨 후사용 여부를 판단하여 운반하는 각각의 간단한 프로그램을 작성할 수 있는 능력을 배양한다.2.PLC의 정의프로그램 가능 논리 제어 장치(programmable logic
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.13
  • 서강대학교 21년도 디지털논리회로실험 2주차 보고서 (A+자료) - Logic Gates, FPGA
    측정 결과를 이용해 Truth Table을 그한 후 A와 C’의 NOR 연산이 F1이 된다.F1에 대한 truth table을 그려보고 F0와 비교해보면ABCF0F00******** ... 디지털논리회로실험 2주차 실험 보고서목적- TTL logic gates의 동작 방법을 익히고, Logic level과 noise margins, fanout에 대해 이해한다.- Gates를 ... 따라서 NOR의 기능을 한다고 볼 수 있는데, 이는 negative-logic으로 OR이고 단자의 연결만으로 logic을 구현했기에 wired-OR connection이라 한다.이
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    전가산기가 계산되기 위해 이전 가산기의 연산을 기다려야한다. 이 때문에 비트 수가 커질수록 연산이 느려지는 단점이 있다. ... logic을 최종적으로 FPGA Device Configuration까지 수행해서 동작을 확인한다.나. ... Pre-reportSchematic Design with Logic Gates날짜 :학번 :이름 :1. Introduction가.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    진리표를 이용하여 Q의 다음상태를 논리식으로 쓰면, 아래와 같다.주의사항으로는 S=R=1인 경우가 정의되지 않는데, S=1이면 Q가 0으로 정의되고, 그 Q값이 R과 함께 NOR연산 ... =1 전환, Logical L실행495ns111101101101100110Logical L 1회505ns111101101111001100Logical L 2회510ns011001101011001100Mode ... R 실행475ns111100101101110111Logical R 1회485ns111100101100110011Logical R 2회490ns011101101100110011dir
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 학점A+받는 영남이공대학 전자계열 마이크로컴퓨터 [The Structure of CPU II]
    Explain the "Internal Structure of CPU".CPU의 내부구조에는 Arithmetic Logic Unit (ALU), Registers, Control Unit3가지로 ... Explain the "ALU operation".ALU란, 각종 산술 연산들과 논리 연산들을 수행하는 회로들로 이루어진 하드웨어입니다.산술연산에는 +,-,x,÷가 있고, 논리연산에는 ... 간단하게 설명하자면,① ALU는 산술연산들과 논리연산을 수행합니다.② Registers는 순간적으로 데이터를 저장하는 자리입니다.③ Control Unit은 명령어를 해석하여, 순서대로
    리포트 | 6페이지 | 3,000원 | 등록일 2020.11.01 | 수정일 2020.11.02
  • 논리회로실험 비교기와 MUX, ALU
    - 위의 논리회로도와 논리기호를 보면 알 수 있듯이 멀티플렉서의 반대기능을 수행하며 오른쪽의 그림은 멀티플렉서와 디멀티플렉서의 관계이다.(4) ALU- arithmetic and logic ... · 나눗셈의 사칙연산, AND · OR · SHIFT 등의 논리연산을 하는 장치.- 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 ... unit의 약칭으로 산출논리 연산 유니트, 또는 간단히 연산유니트라고도 부른다.- 중앙처리장치(CPU)의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 덧셈 · 뺄셈 · 곱셈
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • SoC 보고서 - 4.8051
    타이머, 인터럽트 서비스루틴, 포트 클록 컨트롤 PMU등 단순 연산 외에 추가적으로 제공하는 기능이 존재한다.Special Function Logic새로운 설계를 할 때 그 제품의 ... 프로세서는 연산 및 제어를 하는 부분으로 연산 및 제어를 하는 ALU, CPU/MCU의 동작을 제어하는 제어장치, CPU/MCU의 작업공간 및 임시 저장장치인 레지스터 등으로 이루어져 ... 연산장치인 ALU가 있고 제어를 위한 CONTROL_UNIT가 있다. 그 외 메모리를 제어하는 모듈이 존재한다.
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 아주대학교 논리회로실험 / 8번 실험 Counter 결과보고서
    Counter는 수를 세는 계수능력을 갖는 논리회로로, pulse를 세어서 수치를 연산한다. ... 카운터는 보통 입력 1개와 출력 n개가 있는데, 이를 n비트 카운터라고 칭한다. n비트 카운터는 0부터2 ^{n} -1까지 값을 연산할 수 있다는 것이다. ... 마찬가지로 논리 다이어그램이 복잡해 실제로 어떠한 연산이 이루어지고 있는지 추적하기는 어렵지만, 이 또한 앞선 2번 실험과 유사한 순환회로로, 플립플롭과 쉬프트 레지스터를 활용함을
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • SK하이닉스 회로설계직 합격자소서
    logic의 큰 size를 확인했고, FSM 방식을 통해 ‘나머지’ 연산을 대체했습니다. ... 회로는 cell이 많을수록 area와 power가 증가하기 때문에, 최소한의 cell을 사용하도록 회로를 최적화했습니다.가장 먼저, 기존 사용했던 ‘나머지’ 연산의 combinational
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.11
  • 하드웨어와 소프트웨어
    중앙처리장치컴퓨터의 심장부로서 제어장치(control unit), 연산 및 논리장치 (arithmetic/logic unit), 주기억 장치(Primary storage)의 세 부분으로 ... 구성된다.1/ 제어장치 : 작동순서를 통제2/ 연산 및 논리장치-산술연산과 논리작업을 수행하여 자료를 처리3/ 주기억장치-현재 작업 중인 자료 및 프로그램을 저장하는 역할2.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.12.11
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    , 주파수 분주기, 타이밍 제어신호 생성 등에 활용.- 동기식 계수기는 모든 플립플롭이 공통 클럭에 의하여 구동되어 설계가 용이하고 동작이 빠름.- Verilog HDL의 +/- 연산자를 ... Post-reportSequential Logic 1실험날짜 :학번 :이름 :1. Introduction가. ... 실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • 기계과 레포트 - PLC
    수집하는 정보는 프로세스의 필요한 변경과 개선을 유도하는 피드백으로 사용될 수 있으며, 그 중 일부는 기기의 코딩에 따라 자동으로 수행될 수 있다.PLC는 타이머나 카운팅, 딜레이, 연산 ... PLC 구조PLC란, Programmable Logic Controller의 약자로 Logic을 Program할 수 있다는 뜻이다. ... PLC(Programmable Logic Controller) 정의1II. PLC(Programmable Logic Controller) 구성요소2A. CPU Module2B.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.05.29 | 수정일 2022.03.21
  • 컴퓨터 구조 계산기_quartus 설계_2024
    ROM or Hard-Wired Logic 등 용어를 이해하며 설계를 진행한다.# 제어장치의 구현계산기를 구현하는데 필요한 내부 register는 A[4bit], B[4bit], IR ... ;은 동시 실행이 가능한 연산임을 표기한 것이고 ;;은 클럭이 필요한 연산을 표기한 것이다.# Timing Pulse제어 신호를 만드는 방법은 여러 가지가 있다. ... 경우 Register 값이 변경되므로 CLK을 필요로 한다.T3, 5은 Branch 연산이므로 CLK이 불필요하다.
    리포트 | 17페이지 | 2,000원 | 등록일 2024.06.07
  • 전자전기컴퓨터설계실험2(전전설2) (4) Arithmetic Logic and Comparator
    Arithmetic Logic and Comparatorpost-lab reportArithmetic Logic and Comparatorpost-lab report과목명전자전기컴퓨터설계실험2담당 ... 이 때문에 비트 수가 커질수록 연산이 느려지는 단점이 있다. ... Adder가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다.
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 현대오트론 자기소개서
    반도체 공정실습에서 습득한 지식을 바탕으로 칩 면적을 고려해 Layout 설계하고 Logic 설계 단계에서는 Verilog를 사용하여 연산 block들을 구성했습니다. ... 이를 수행하기 위해서는 병렬 연산을 효율적으로 처리하는 NPU와 같이 딥러닝 연산 능력에 최적화된 프로세서가 필요합니다.이와 같은 자율주행차의 연산 처리에 최적화된 프로세서 개발을 ... 현재 레벨3~4의 자율주행차는 초당 약 1,000억 개의 연산을 수행해야 하고 완전 자율주행 단계인 레벨 5는 이보다 10배 이상의 연산 능력이 필요합니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.03.12
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    실험에서 사용되는 Gate들을 모두 간단한 논리연산의 수식으로 나타낼 수 있고, 실제로 실험 결과와 Boolean Algebra로 간략화한 식의 결과가 같음을 확인할 수 있다.5. ... logic을 최종적으로 FPGA Device Configuration까지 수행해서 동작을 확인한다.나. ... Post-reportSchematic Design with Logic Gates실험날짜 :학번 :이름 :1. Introduction가.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 시립대 전전설2 A+ 6주차 예비레포트
    회로이며, 주파수 분주기, 타이밍 제어 신호 생성 등에 활용동기식 계수기는 모든 플립플롭이 공통 클럭에 이하여 구동되어 설계가 용이하고 동작이 빠름Verilog HDL의 +/- 연산자를 ... _5bit.vtb_ logic_5bit.vSimulation4. ... 모델링이 가능함Ex) 8-bit Up CounterActive-low 비동기(asynchronous) 리셋을 가지는 8비트 up-counter의 모듈 설계11) Sequential Logic
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 프로그래밍언어론 ) (1) 메모리에 적재된 프로그램의 기계어 명령어 하나를 컴퓨터의 CPU가 수행하는 과정에 대해 간략히 설명하시오. (2) 고급 프로그래밍 언어로 작성된 프로그램을 컴퓨터의 CPU가 수행하기 위해 필
    대부분의 연산은 ALU(Arithmetic Logic Unit)에서 처리된다.⑤ 결과 저장 : 연산 결과는 메모리나 레지스터에 저장된다. ... 가져온다.④ 명령어 실행 : CPU는 해석된 명령어에 따라 특정 연산을 수행합니다. ... 이 단계에서 CPU는 어떤 연산을 해야 하는지, 어떤 데이터나 레지스터가 필요한지 등의 정보를 파악한다.③ 데이터 인출 : 명령어 실행에 필요한 데이터를 메모리나 CPU 내부의 레지스터에서
    리포트 | 6페이지 | 5,000원 | 등록일 2024.01.02
  • 청운대학교 인천캠퍼스 글로벌경영학과 정보처리론 기말고사 정리자료
    , Value_if_true, Value_if_false): 조건식 / Logical_test(TRUE나 FALSE로 판정될 값이나 식) / Value_if_true(Logical_test가 ... 우선순위가 있음, 괄호가 있는 경우 항상 먼저 연산됨, 같은 연산자의 경우는 좌측에서 우측 방향으로 연산됨우선순위연산자종류1콜론(:) → 쉼표(,) → 공백()참조연산자2백분율(% ... TRUE일 때 돌려주는 값) / Value_if_false(Logical_test가 FALSE일 때 돌려주는 값)※ RANK(number,ref,order)Number 순위를 구하려는
    시험자료 | 24페이지 | 1,500원 | 등록일 2020.07.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대