• 통큰쿠폰이벤트-통합
  • 통합검색(2,244)
  • 리포트(2,062)
  • 시험자료(101)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 581-600 / 2,244건

  • LED, 7 Segment
    또한 자동적인 것은 아니지만, 사람이 획을 움직여 숫자를 표시하는 장비에서도 7세그먼트 표시 장치와 유사한 모양으로 획이 배치되어 있기도 하다.LED로 구현된 7세그먼트 표시 장치는 ... Segment7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... , 획의 제한이 없는 LCD에서도 대비가 높고 인식하기 쉽기 때문에 계산기 등에서 자주 쓰인다. 7세그먼트 표시 장치가 표현할 수 있는 문자를 넓히기 위해 사선을 추가한 14세그먼트
    리포트 | 6페이지 | 1,500원 | 등록일 2008.07.22
  • ATmega128 시계 전압계 설계 소스, 코드
    , 0x7f, 0x67, 0x80 };unsigned char N1 = 0, N10 = 0, N100 = 2, N1000 = 1;unsigned char pos = 4;unsigned ... 설계2.1 시계설계#include #include unsigned char seg_pat[11] = { 0x3f, 0x06, 0x5b, 0x4f, 0x66, 0x6d, 0x7d, 0x27 ... 및 Voltmeter 설계대표 그림/사진요약문ATmega128을 이용하여 시계 및 Voltmeter를 설계하였다.시계를 설계할 때는 타이머 인터럽트를 사용하여 분주비를 설정하고 세그먼트
    리포트 | 14페이지 | 2,000원 | 등록일 2011.12.09
  • [기전공학실험]PWM제어를 이용한 모터 회전수 측정
    .※ 테스터기의 측정 LED사용 목적은 모이기 위함이 아닌 IC의 출력신호의 확인과 구동 확인을 위해 사용되었다.(6) 7 세그먼트(Segment)7 세그먼트 표시기라고 하는 소자는 ... 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 막대모양의 LED 7개를 8자 모양으로 배열하고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시한다.세그먼트는 애노드 공통형 ... 모터의 회전수를 표시하기 위해 102자리까지 3개의 세그먼트를 사용하였다.(7) 집적회로(Integrated Circuit: IC)IC는 트랜지스터, 저항, 콘덴서류를 고밀도로 집적하여
    리포트 | 14페이지 | 1,500원 | 등록일 2016.03.14
  • SWOT분석방법론
    Segment 2 Segment 3 Segment 4 A= 좋은 기회와 지위 B= 중간정도의 기회와 지위 C= 최적 기회/지위보다 못하다.SWOT 분석 방법 _ 계속 ▣ STEP 7 ... 중요도에 따라 점수 부여SWOT 분석 방법 _ 계속 ▣ ( 예시 ) 목록의 각 항목에 가중치 부여SWOT 분석 방법 _ 계속 ▣ STEP 4 – 점수 부여 점수 기준 3 가장 매력적인 세그먼트
    리포트 | 16페이지 | 3,000원 | 등록일 2018.11.10
  • BCD to Excess-3 코드 가/감산기 설계 보고서
    특이사항7. 작품에 대한 고찰 및 자체 평가1. ... 브레드보드에 선을 연결할 때 빨간선은 Vcc에 연결된 것이고 검은선은 그라운드에 연결된 것이다.7. ... 출력값을 표현하는 방법에서 세그먼트와 LED로 표현하는 방식이 있었는데, 나는 세그먼트를 선택했다. 세그먼트를 선택다.
    리포트 | 12페이지 | 1,500원 | 등록일 2015.12.10
  • [대충] 결과 가산기와 ALU 그리고 조합논리회로 응용
    = LHLL 일 때, F의 값을 7-세그먼트로 나타낸 사진이며, 오른쪽은 A = B = HLLL 일 때의 F의 값을 7-세그먼트로 나타낸 사진입니다. ... ◆검토 및 고찰이번 실험에서는 ALU(74181) 소자의 기능 중 덧셈 기능을 이용하여 A와 B를 계산하고 이 결과값 F를 7-세그먼트를 이용하여 디스플레이 했습니다. 7-세그먼트에 ... 위의 사진은 실험에 사용한 것과는 다른 7-세그먼트라 10부터 15까지 a, b, c, d, e, f로 정확하게 표시가 되었습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • vlsi 최종
    Q3);link5 : segport map(d => t_q4, abcdefg => Q4);link6 : segport map(d => t_q5, abcdefg => Q5);link7 ... rst=>f_rst, q=>qm0, outclk=>s_clk5);u5 : counter5port map(clk=>s_clk5, rst=>f_rst, q=>qm1);end behave;세그먼트library
    리포트 | 20페이지 | 1,000원 | 등록일 2018.11.18
  • [mahobife]디지털회로실험 인코더와 디코더 결과 보고서입니다.
    segment 디코더 7447을 이용한 숫자표시기 설계① 7 세그먼트 디코더(Anode 형태)10진 표시 형태 결과 모습② 7447 BCD-7 segment 디코더인코더에서 NOT을 ... `+``AB``=``bar{A oplusB}``=``bar{A barB``oplus barA B크기 비교기 실험 결과2. 10진-BCD priority 인코더 74147과 BCD-7
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • ASIC설계 홈 오토맨션
    여기서 숫자패드 1을 누르게 되면 그림 10과 같이 step모터는 회전을 하게 되고 세그먼트에는 온도가 출력이 되면서 step모터의 화전수에 따라 온도가 올라가다가 설정이 된 온도에서 ... -보일러 모드시 모터를 돌렸을때 세그먼트에 온도를 출력하게과 브레인스토밍을 하여 분석, 토론하여 마감시간까지 최종 설계를 해낼 수 있었습니다.이번과제를 수행하면서 느낀점은 평소에 관심을 ... //출력은 세그먼트와 연결이된다.keypad_seg U3 (.clk(clk),.rst(rst),.key_data(key_data),.motor_cnt(motor_cnt),.motor_first
    리포트 | 43페이지 | 2,500원 | 등록일 2017.04.11
  • 마이크로프로세서 및 실습 레포트6
    마이크로 프로세서 및 실습2011161072 서성민실습과제7: 타이머인터럽트를 이용한 7 Segment LED Dynamic Drive규칙적으로 발생되어 MCU의 프로그램 수행을 가로채는 ... 0부터 9까지 나타내는 디지트{ 0x3F,0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7D, 0x27, 0x7F, 0x6F };const unsigned char DigitDrivingData ... [4] = {0x02, 0x04, 0x08, 0x10};//세그먼트중 전원을 인가할 세그먼트 선택unsigned char LED_DisplayBuffer[4];//4자리 정수를 세그먼트
    리포트 | 6페이지 | 1,000원 | 등록일 2015.01.19
  • 필라멘트 램프
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.(6) 7세그먼트 표시기디지털 판독기는 LED대 ... 하지만, CRT에 비해 시인성이 우수하고, 평균소비전력은 같은 화면 크기의 CRT에 비하면 30-40%정도이며, 발열량도 작다.(5) 7-세그먼트7세그먼트 표시기라고 하는 소자는 0에서 ... 그림에서 알 수 있듯이 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록
    리포트 | 17페이지 | 1,000원 | 등록일 2015.11.16 | 수정일 2018.04.27
  • 설계과제1 BCD 가산기
    실험 목표7 segment 표시장치의 작동원리에 대하여 이해하고 7 segment 장치를 사용한 BCD to 7 segment 디코더에 대하여 알아본다. ... 십의 자리의 경우에는 temp_sum2[7:4]를 할당하기 위해서 값 A[7:4], B[7:4]을 사용한다. ... 예비 이론(1) BCD to 7 segment Decoder[그림 1] 7 segment 7 segment 표시장치는 LED (Light Emitting Diode) 또는 LCD (
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
  • 포토 인터럽트를 이용한 DC 모터 속도 측정
    비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로의 내부적인 수치를 보여 주는 데 자주 사용된다.7세그먼트 표시 장치의 구성.7세그먼트 표시 장치는 7개의 선분(획)으로 ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다.다음은 일반적으로 각 숫자에 해당하는 7세그먼트 표시 장치의 모습이다.대부분의 7세그먼트 표시 장치는 ... 또한 자동적인 것은 아니지만, 사람이 획을 움직여 숫자를 표시하는 장비에서도 7세그먼트 표시 장치와 유사한 모양으로 획이 배치되어 있기도 하다.LED로 구현된 7세그먼트 표시 장치는
    리포트 | 15페이지 | 1,000원 | 등록일 2009.11.19
  • 현대사회와정보보호 7 (단국대인강, 구글변역기 안 쓴 답안입니다.구글 돌린 허위자료에 속지마세요.)
    7단원 과제과목 : 현대사회와 정보보호교수님 : 김태환 교수님학번 : 32130774학과 :이름 :1.IDPS란 침입 방어와 시스템 보호입니다. ... 보안의 관점에서 어느 것이 더 적절한지 살펴보자면 실패한 긍정적인 알람이 좀 더 나은 편입니다.3.네트워크 기반 IDPS (NIDPS)는 네트워크 세그먼트에 상주하며 해당 세그먼트에서
    리포트 | 3페이지 | 1,500원 | 등록일 2017.05.10
  • 전북대 성공창업의 핵심 1차 과제
    파악하는 통찰력 또한 길러야한다 선점적 지위를 이용한 신규 진입자를 압박하는것도 중요하다.공급자가 사업에 얼마나 큰 지배력을 갖는지도 중요하며 정부 정책,글로벌 환경 또한 매우 중요하다7강 ... 집에 대한 기본설계와 같은 역할의 매우 중요한 역할을 한다 비즈니스 모델 요소의 특징은 명확한 가치제안 수익매커니즘 선순환 구조 모방 불가능성이 있다 비즈니스 모델 캔버스는 고객 세그먼트
    리포트 | 2페이지 | 1,000원 | 등록일 2018.10.11
  • 현대위아 연구개발-시험평가 합격자소서
    그 결과 푸쉬 스위치를 터치센서로, CLCD 모듈을 7세그먼트로 대체하여 6만 원 이내의 비용을 들여 완성품을 만들 수 있었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2019.03.05
  • TCP/IP의 정의 및 기능 (직접작성한내용)
    전송 계층 (Transport)OSI 7계층의 4계층에 해당되는 전송 계층에서는 상위 계층에서 내려온 데이터를 적절한 세그먼트로 크기를 분할한다. ... 인터넷 계층 (Internet)OSI 7계층의 3계층에 해당하는 인터넷 계층은 상위 계층인 전송 계층에서 받은 세그먼트에 라우팅을 위한 IP헤더를 포함시킨다. ... 네트워크 인터페이스 계층 (Network Interface)OSI 7계층의 1,2계층에 해당하는 네트워크 인터페이스 계층은 IP 패킷의 물리적인 전달을 담당하는 계층으로 서브네트웍
    리포트 | 4페이지 | 1,000원 | 등록일 2016.11.30
  • 네트워크 프로그래밍 과제 문제 풀이
    OSI(Open Systems Interconnection) 7계층 모델에 관해 조사하고, 각 계층의 기능을 TCP/IP 프로토콜 관점에서 기술하시오.그림 1-1 TCP/IP와 OSI7계층 ... TCP/IP 네트워크의 네트워크 세그먼트를 서브넷이라고도 합니다. ... 네트워크 주소라고도 하는 네트워크 ID는 IPv4 라우터로 제한된 동일한 실제 또는 논리 네트워크 세그먼트에 있는 인터페이스 집합을 식별하는 IPv4 유니캐스트 주소의 고정 부분이다
    리포트 | 6페이지 | 2,500원 | 등록일 2017.12.23
  • SSL을 적용한 웹사이트 구축 및 분석
    5.1.2600 Service Pack 3CPU : Intel Core(TM)2 CPU 1.86GHzRAM : 2GbVirtual Machin : VMware Workstation 7.1.3 ... 등의 기본적인 통신과 관련된 처리를 수행하는 부분과 데이터의 암호화, 복호화, 메시지 인증 같은 보안 서비스를 담당하고 있는 부분으로 구분할 수 있다.① Client Hello 세그먼트① ... Cipher Suit 리스트, 클라이언트가 지원하는 압축방법 리스트 등의 정보를 서버에 전송② ServerHello, ③ Certificate, ④ ServerHelloDone 세그먼트
    리포트 | 20페이지 | 2,000원 | 등록일 2019.01.12
  • 운영체제 2차 과제 레포트
    다음 세그먼트 테이블을 생각해 보자.세그먼트 기본 길이0 219 6001 2300 142 90 1003 1327 5804 1952 96다음의 논리주소에 대한 실제 주소는 무엇인가? ... 과 목 :운영체제학 번 :이 름 :제 출 일 :2015. 12. 7.1. ... -순수 세그먼테이션순수 세그먼테이션은 세그먼트 단위로 쪼개어 메모리에 할당하는 방식으로 가변분할 연속적 메모리 할당과 같이 내부단편화는 생기지 않지만 모두 메모리에 올라가야하기 때문에
    리포트 | 12페이지 | 3,800원 | 등록일 2017.03.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:57 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대