• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 601-620 / 3,568건

  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 half, full, 4-bit adder
    실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(4-bit Adder)]2. ... 실험 목적- 1-bit Full Adder 와 Half Adder 의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.- 4-bit Adder를 ... 관련 이론-half adder이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력에 따라 출력한다. and, or, not의 세 가지 종류의 논리회로만으로 구성할 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • Chap9. PLC응용실험
    래더 다이어그램은 논리 AND의 연산자의 직렬 회로나, 논리 OR의 병렬회로에 분석이 용이하다.PLC의 작동원리PLC는 입출력장치 및 프로그램으로 기계나 프로세서 작업을 제어하는 장치가 ... 아마도 코드 4번째 줄에 Y000을 X000으로 바꿨으면 컨베이어 벨트가 멈췄을 것 같다.결론PLC 응용제어 실험장치를 통해 PLC의 특성과, 코드를 배웠다. ... NEMA(미국 전기공업협회)의 정의로는 ‘기계나 프로세서를 제어하기 위해 논리 시퀀스, 카운터, 타이머, 산술연산 기능을 첨가시킨 디지털 전자장치’로 PLC를 규정하고 있다.PLC의
    리포트 | 5페이지 | 1,000원 | 등록일 2020.10.05
  • 시립대 전전설2 A+ 2주차 예비레포트
    키트Xilinx Spartan-7 (FPGA)본 실험에서 사용하는 Xilinx 사의 FPGA인 Spartan-7 XC7S75는 수 만개의 로직 셀을 포함4) HDL(Hardware ... b1001, E = 4’b1101, F = 4’b10x1- ~D //결과 값: 4’b0110- D & E //결과 값: 4’b1001- D | E //결과 값: 4’b1101- D ... Description Language)디지털시스템의 구조 및 동작을 기술(표현)할 수 있는 언어- 하드웨어 소자, 구조, 동작 등을 텍스트 기반으로 표현 가능- 입출력 논리 간의
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • nand, and gate를 이용해 다른 gate를 만들기와 드모르간 정리
    실험 기구● 논리회로 실험장치 (브레드보드)IC칩과 도선을 연결해 회로를 구성하고 최대5V 까지 전압을 줄 수 있는 기구● LED (발광 다이오드)켜지면 High(1) 꺼지면(0) ... 고찰이론에서 배운 드모르간의 정리를 실험을 통해 확인하고 논리회로를 간략화하는 방법을 배웠다. ... 실험 목적이론에서 배운 불 대수 법칙을 게이트에 적용하여 회로를 구성하고이론 출력값과 실험 출력값이 동일한지 확인한다.3.
    리포트 | 15페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 부산대 어드벤처디자인 실험10 A+ 예비보고서(플리플롭, K맵, 레이싱)
    K-맵을 이용하여 그림 10.7(a) ~ 그림 10.7(d)에 나타낸 논리회로와 여기표로부터 특성방정식(characteristic equation)을 유도하라. ... 실험 10 예비보고서1. RS, D, JK 및 T 플립플롭에 대한 블록다이어그램을 그리고 여기표(excitation table)를 작성하라.2. ... 즉 출력이 보수가 된 다음에도 Clock Pulse가 계속 남아있게 되면, 다시 보수를 취하는 연속적인 변화가 일어나는 문제점을 레이스 현상이라고 합니다.4.
    리포트 | 2페이지 | 1,500원 | 등록일 2022.04.09
  • 플리플롭 결과보고서
    분석 및 결론이번 실험논리회로 시간에 배웠던 SR latch와 JK Flip-Flop이 어떻게 진행되는지 직접 눈으로 알아 볼 수 있었다. ... 회로실험(1)플립 플롭대학학과담당교수학번/이름실험 일시2019.05.151. 실험 제목2. 목적 및 목표3. 관련 이론4. 실험 과정5. 실험 결과6. 분석 및 결론1. ... 실험 제목플립 플롭2. 목적 및 목표브래드 보드에 회로를 구성하고 오실로스코프, 함수발생기를 사용하여 플립플롭의 동작을 알아보자!!3. 관련 이론4.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 예비 보고서
    디지털논리회로실험예비 보고서[8주차]실험 7. Finite State Machines1. ... 따라서 T 플립플롭의 입력은 다음과 같이 정의할 수 있다.4비트 Up-카운터의 회로는 [그림 3]과 같이 구현된다. ... 실험 목적1) Finite state machine (FSM) 회로를 설계하고 분석할 수 있는 능력을 갖춘다.2) Mealy와 Moore state machine을 구분하고 각각의
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [논리회로실험] Latch & Flip-Flop - 결과보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 실험 4 : J-K Latch with Enable ( Gate 이용 ) (생략)- 74HC10 1개와 74HC00 1개를 이용하여 J-K Latch 회로를 구현한다.- Enable ... 있었다.실험 4에서 J-K Latch with Enable을 구성했고 Latch 회로이기 때문에 enable의 입력 값과 J, K의 입력 값이 전부 출력에 영향을 받았다. enable의
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.04
  • 7세그먼트 디코더 실험보고서
    높음)의 출력 A와 B에 대한 논리함수를 나타내고, AND 및 OR 게이트로 구성된 4진수/2진수 우선순위 인코더를 도시하라.4. ... .3.2 BCD/7-세그먼트 디코더 드라이버 74LS47의 논리 심볼과 pin 구성도를 그려라.3.3 4진수/2진수(4-line to 2-line) 우선순위 인코더(큰 숫자가 우선순위가 ... [세그먼트 디코더의 회로도]3.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.26
  • 논리회로실험 레포트
    논리회로실험 예비레포트20000001 임0000000000학부목표: - AND,OR,XOR Gate를 이해하고 안다.Verilog HDL 문법을 이해한다.내용 :AND 게이트두 개 ... Verilog-HDL-%EB%AC%B8%EB%B2%95" http://skbdlee.tistory.com/entry/2-Verilog-HDL-문법 [just enjoy everything]논리회로실험 ... docId=2835925" XOR 게이트 [XOR gate] (두산백과)4) Verilog HDL 문법1.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.07.14 | 수정일 2024.07.20
  • 디지털 시계 회로 제작 보고서
    전기전자 기초실험 및 설계Term Project 보고서디지털 시계 회로 제작1. 실험 주제디지털 논리 회로를 이용한 디지털 시계 제작2. 실험 원리그림 1. ... 실험 방법1. 그림 1과 같이 브레드보드에 회로를 구성한다.2. 전원을 연결하여 회로가 작동되는지 관찰한다.3. 가변저항을 조절하여 시간을 조정해본다. ... 출력Q _{1}은 발진회로에서 클록펄스를2 ^{1}분주한 주파수를 얻으며, 출력Q _{4}는 클록펄스를2 ^{4}분주한 주파수를 얻는다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • 서울시립대 전자전기설계2(전전설2) 5주차 사전보고서
    교안의 2:4 디코더의 진리표로부터 논리회로를 카르노맵을 이용한 최적화 방법으로 설계하시오.A0A101010100=Y _{0} (A _{0} ,`A _{1} )=({bar{A _{0 ... 2019년 전자전기컴퓨터설계실험25주차 사전보고서1. ... 교안의 1:4 Demux의 진리표로부터 논리회로를 카르노맵을 이용한 최적화 방법으로 설계하시오.S0S1010AB1CD=Y _{} (S _{0} ,`S _{1} )=({bar{S _{
    리포트 | 10페이지 | 1,500원 | 등록일 2019.10.13
  • [평가계획서][평가계획안][평가규정] 2학년 정보 교과 학생 평가 규정
    컴퓨터의 기본적인 논리회로를 설계할 수 있다.상다양한 논리 게이트의 기호, 논리식, 진리표, 논리 회로의 특징을 비교하여 설명할 수 있고, 조합 논리회로로 구현된 예를 찾을 수 있고 ... 설계할 수 있다.중다양한 논리 게이트의 기호, 논리식, 진리표, 논리 회로의 특징을 설명할 수 있고, 조합 논리회로로 구현된 예를 찾을 수 있다.하다양한 논리 게이트의 기호, 논리식 ... , 진리표, 논리 회로의 특징을 간략하게 설명할 수 있다.정보3212.
    리포트 | 10페이지 | 3,000원 | 등록일 2023.04.21
  • RF 리모컨
    우리는 PROJECT에서 원하는 출력을 얻기 위해 바이어스용 저항을 만들어 50~150의 β를 걸어 시뮬레이션을 반복하였다.■ 실험 과정● 송신 회로 분석1. ... 양 끝은 Emitter(에미터), Collector(콜렉터)라 한다.바이폴라 접합 트랜지스터(BJT:bipolar junction transistor)소자들은 신호 폭에서 디지털논리와 ... ■ 요 약■ PROJECT에 필요한 이론● Bipolar Junction Transistor : BJT의 동작원리● OP_AMP 동작원리● 발진 원리● 수신 원리● 바이어스■ 실험
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.23 | 수정일 2023.10.10
  • 디지털 논리회로의 응용 멀티바이브레이터
    디지털 논리회로의 응용 – 멀티바이브레이터실험 목표쌍안정 멀티바이브레이터인 래치와 플립플롭에 대해 이해하고 이를 응용한 회로를 구 성할 수 있다.단안정 멀티바이브레이터와 비안정 멀티바이브레이터에 ... 그림 4의 회로는 리플 카운터 (ripple counter)이다. ... 클럭 펄스의 경우는 상승 에지 상태와 하강 에지 상태라는 두 가지 경우로 기록하면 된다.그림 4의 회로를 구성하고 클럭 펄스의 변화에 따른 QA와 QB의 변화를 그려본 다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.03.03
  • 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로를 설계함으로써 순차논리회로의 설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK ... 제목 - 실험 결과 보고서실습 목적앞에서 실습한 순차회로 설계는 상태가 천이하는 조건이 단순했는데, 입력이 많아지고 조건이 복잡해지면 상태 천이 조건도 복잡해진다. ... else state
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 6주차 Lab06 결과 레포트 Sequential Logic 1, 전자전기컴퓨터설계실험2,
    하지만 논리회로보다 고려해야할 사항이 더 많아서 각각의 경우를 잘 알고 설계할 줄 알아야 오류없이 원하는 값을 얻을 수 있었다.4. ... 토의본 실험에서는 병렬입력/병렬출력, 4bit sipo, 카운터, 응용과제 등 여러 순차회로를 다루었다. ... 결론본 실험에서는 자일링스 프로그램을 통해 순차회로를 베릴로그 언어를 사용해 시뮬레이션을 진행하였다.
    리포트 | 25페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 실습 6. 위상 제어 루프(PLL)
    결론위상 제어 루프 회로는 입력 신호를 XOR논리 게이트를 이용한 비교기를 통해 출력신호와의 차이를 출력하고, 루프필터를 통해 직류전압에 가깝게 만들어준다. ... 위상 제어 루프(PLL)(조: 실험날짜: 보고서 제출날짜:)요약: 위상 제어 루프 회로를 구성하여 주파수 동기화의 원리를 이해하여 주파수를 변화시켜가며 동작주파수 대역을 확인하고, ... 한편 8kHz에서 0.1kHz씩 주파수를 증가시켰을 때, 사진 8에서 확인할 수 있는 것처럼10.3kHz까지 위상고정이 일어나고 사진 9에서와 같이 10.4kHz가 되었을 때 위상
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 디지털 논리회로 실험 7주차 JK-FlipFlop 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : JK FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험 목적2. 실험 이론3. 실험 준비4. 실험 기기 및 부품5. 주의 사항6. 실험 과정 및 예상하는 이론적인 실험 결과7. 참고 문헌1. ... 실험 이론디지털 시스템에서 클럭 (clock) 신호에 의해 각종 논리신호가 동작되는데, 플립플롭 역시 이 신호에 동기 되어 동작한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.04.22
  • 아날로그 및 디지털회로설계실습 실습7(논리함수와 게이트)예비보고서
    논리함수와 게이트)아날로그 및 디지털 회로 설계실습설계실습 7. 논리함수와 게이트7-1. 실습목적 : 여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다.7-2. ... 기생성분과 소자 영향을 고려한 값과는 다소 차이가 있을 것으로 예상한다.7-3-2 NAND 게이트 설계 및 특성 분석(A) Vcc를 5V (논리값 1)에서 0V (논리값 0)으로 ... B출력 X001010100111(B) AND 게이트와 OR 게이트 각각의 입출력 시간 딜레이를 측정할 수 있는 방법에 대해 조사하고, 딜레이를 가장 정확하게 측정할 수 있는 방법의 실험
    리포트 | 12페이지 | 1,000원 | 등록일 2020.09.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대