• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 581-600 / 3,568건

  • OP amp를 이용한 comparator 회로 실험 결과 보고서
    3의V_{i n} ,V_out의 관계와 거리와V_out의 관계도 실험 2와 같은 논리지만V_{i n}이 증가함에 따라V_out도 같이 증가하고, 거리에 대해서는 감소하는 반대의 관계로 ... 실험 방법실험 1-1. Comparator0. 그림 1과 같은 회로를 구성한다.1. Voltage divider를 이용하여V_2의 전압을 1V로한다V_12. ... (주의: 출력 전압에 LED를 연결하기 전 저항을 꼭 연결)실험 2. Phototransistor0. 그림 3과 같은 회로를 구성한다. .
    리포트 | 11페이지 | 2,500원 | 등록일 2024.02.01
  • 실험22_flip-flop 회로_결과레포트
    실험이론⑴ RS flip-flopReset과 Set 2입력 단자와Q와bar{Q} 2출력 단자로 구성된 순서 논리 회로를 RS flip-flop (이하 FF)라고 한다.S 단자에 High가 ... 실험고찰이론적으로는 RS와 JK, D FF을 알아보았으나, 기본적으로 순서논리회로의 확장 영역이며, RS FF의 경우 JK와 D FF을 구성하는 필수적인 요소이기 때문에 RS FF의 ... 실험22. flip-flop 회로실험일 : 2000 년 00 월 00 일제출일 : 2000 년 00 월 00 일학 과학 년분 반조학 번성 명전자전기공학부2▣ 결과보고서1.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.15
  • [논리회로실험] 실험7. Shift Register 결과보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 정직성: 청구 또는 견적을 함에 있어 입수 가능한 자료에 근거하여 정직하고 현실적으로 한다.4. 뇌물 수수 금지: 어떠한 형태의 뇌물도 거절한다.5. ... 단일 IC칩 대신 플립플롭을 사용하여 회로를 구성했고 6 bit 시프트 레지스터이기에 플립플롭은 6개를 사용했다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    레지스터(1) 레지스터 : 멀티비트를 저장할 수 있는 플립플롭이다.(2) 쉬프트 레지스터- 순차적인 논리회로 형태로, 주로 디지털 데이터를 저장. ... 실험 준비물(1) SN7404(2) SN7474(3) SN7476(4) SN74164(5) Power supply, Oscilloscope, Function Generator? ... RLC 쉬프터 레지스터회로실험2 7주차 예비보고서? 실험 목적1. 쉬프트레지스터의 구조와 동작원리를 이해한다.2. 쉬프트레지스터를 이용한 카운터의 동작을 이해한다.? 이론1.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 7장 순차논리회로 설계 및 구현(1) 예비
    디지털공학실험 ? 7장, 순차논리회로 설계 및 구현(1) 예비보고서1. 목적가. 4상태를 가진 상태도를 회로로 구현하고 동작을 확인한다.나. ... 이론에 나와 있는 순차회로 설계를 참고하여 [실험 가]에 제시된 그림 7-9의 상태도로부터 회로를 설계하라.1. ... 타임,t _{h}는 홀드타임,t _{comb}는 조합논리의 최대 지연 시간이다.4) 타이밍 문제그림 7-8(a)와 같이 첫 번째 플립플롭의 출력Q _{1}이 두 번째 플립플롭의 입력D
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.06
  • 바이폴라 접합 트랜지스터 기초 실험 예비레포트 (BJT기초실험)
    예를 들어, 컴퓨터에서 사용되는 회로논리“0” 아니면“1”로 동작하는 스위치 회로로, 빠르며 잡음을 많이 수반하지 않으면서 쉽게 조정된다. ... 그림 7-4는 단순화시킨 트랜지스터 회로 및 전자가 흐르는 방향을 보여준다.그림에서의I_CBO는 아주 작은 누설 전류를 나타낸다. ... 실험 7. 바이폴라 접합 트랜지스터 기초 실험1.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.22
  • 555타이머
    구성타이머 IC 555는 시간조정용 신호 발생회로로서 널리 쓰이는 소자이며 적은 수의 저항 및 커패시터를 연결하여 다양한 타이밍 펄스를 발생시킬 수 있다.V _{cc} 및 논리 1과 ... 0의 범위가 4.5V ~ 16V 의 넓은 범위에서 허용된다. ... 2020년도 응용전자전기실험2 예비보고서실험 21 . 555타이머제출일: 2020년 11월 23일분 반학 번조성 명1.목적?
    리포트 | 2페이지 | 1,000원 | 등록일 2021.02.10
  • 멀티플렉서를 이용한 조합논리 결과보고서 A+
    이번 실험을 통해 이론과 실습의 연결성을 다시한번 깨닫게 되었다.고찰문제And,or,not,nor,nand 등을 이용하여 4*2(입력 4, select 2)인 멀티플렉서 회로를 완성하여라And ... Experiment-Report(9장 멀티플렉서를 이용한 조합논리)1. ... 실험목적멀티플렉서를 이용하여 비교기와 패리티 발생기를 설게하고 그 회로를 시험한다.2 x N 입력의 진리표를 수행하기 위해 N입력 멀티플렉서를 이용한다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.11
  • 가산기, 감산기 설계
    실험 제목2. 실험 목적3. 실험 기구4. 실험 원리5. 실험 결과6. 고찰1. 실험 제목① 반가산기② 반감산기③ 전가산기④ 전감산기2. ... 전감산기의 원리전감산기는 두 2진수 입력A _{n},B _{n}과 아랫단으로 빌려주는 빌림 수K _{n-1}을 포함하여A _{n} -B _{n} -K _{n-1}을 계산하는 조합 논리회로이다.입력 ... 조작하면출력 LED D는 High(1) K는 Low(0)가 나온다.③ 전가산기의 원리전가산기는 2진수 입력 2개와 아랫자리에서 올라온 캐리를 포함하여 한 자리의 2진수 3개를 더하는 조합 논리회로이다.전가산기
    리포트 | 16페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 8주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목RAM(Random Access Memory)실험목표1. 16×4RAM( ... 논리. 조건 연산식을 사용할 수 있습니다.실험방법플립플롭을 여러 개 사용하면, 레지스터를 만들 수 있고, 또 레지스터를 여러 개 사용하면 메모리를 만들 수 있다. ... 이번에는 16×4 RAM 메모리를 설계한다.? 메모리 동작 메커니즘1.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • [한양대 Erica 기초회로실험] Active Filter
    (b) 회로도.그림 4(b)에 나타낸 바와 같이, 저역통과 연산 증폭기 필터, 고역통과 연산 증폭기 필터, 반전 증폭기 필터를 나란히 연결함으로써 세 가지 요소를 모두 제공하는 회로를 ... 년도-학기년 학기과목명기초회로실험LAB번호실험 제목12Active Filter실험 일자년 월 일제출자 이름제출자 학번팀원 이름Chapter 1. ... (그림 4) 직렬연결 연산 증폭기 대역통과 필터. (a) 블록선도.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.09.27
  • 실험 5제너다이오드 회로의 특성 실험
    (a) 엔코더 (b) 논리게이트 (c) 비교기 (4) 전압 조정기5-6. ... 제너다이오드 회로의 특성 실험[목적]• 제너 다이오드 회로의 전압 전류 특성을 이해할 수 있다.• 제너 전압 조정기를 구성하고 회로의 특성을 할 수 있다.• 제너 이탈 점 (Zener ... x20V24.1 mA24.1 mA12.12V0.5 xVr10VVdc10vI6.38[실험 결과]이번 실험은 제너다이오드 회로의 전압 전류 특성을 이해하고 제너 전압 조정기를 구성하고
    리포트 | 10페이지 | 1,000원 | 등록일 2023.07.12
  • 아주대 전자회로실험 실험4 정궤환 회로 결과보고서
    :분 반:학 번:성 명:실험4 결과보고서- 정궤환 회로 -1. ... 슈미트 트리거 회로는 입력신호가 들어 왔을 때 특정한 2개의 논리 상태 중 한개의 상태를 선택적 으로 출력시키는 회로로, 2개의 문턱전압(V _{TH},V _{TL})의 값을 통해 ... 실험4 결과보고서IEEE Code of Ethics(출처: http://www.ieee.org)We, the members of the IEEE, in recognition of the
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.12
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    Q1과 Q2의 결과도 전 플립플롭의 1/2 주파수를 갖는 파형이 발생하는 것을 알 수 있다.위의 논리 회로는 주파수 분할 기능뿐만 아니라 2진 카운터로도 동작한다. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목Sequential Circuit 설계 및 구현실험목표1. ... 다음 그림은 이 J-K 플립플롭을 통한 주파수 분할에 대한 논리 회로를 나타낸 것이다. 3개의 J-K 플립플롭이 사용된 카운터로 3비트 2진 카운터라고 한다.Q0 플립플롭에서 발생한
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 시립대 전전설2 Velilog 결과리포트 2주차
    AND 게이트와 반가산기는 익숙한 논리회로라 무리 없이 만들 수 있었다. 하지만 프로그램이 오류없이 구동되는 데까지 시간이 많이 걸렸다. ... 실험 결과ANDANDSchematic회로도구동 상태확인PIN설정설정ABX핀 설정값P63P67P191실제 핀버튼 스위치1버튼 스위치2LED 1①②③④HalfAdder반가산기Schematic회로도구동 ... 실험 장비 및 부품(Materials of the Experiment)1) 장비노트북Xilinx ISE 프로그램HBE-Combo Ⅱ-DLD2) 부품LED4.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 아주대학교 논리회로실험 / 7번 실험 Shift Register 결과보고서
    레지스터는 이러한 성질에 따라 일종의 조합 논리회로이다. 일반적으로 레지스터는 외부에서 들어오는 데이터를 저장하거나 이동하는 목적으로 사용한다. ... 실험 과정 및 결과 분석1번 실험1번 실험 회로 결선도1번 실험 스케메틱1. ... 할 수 있겠다.실험 1은 여러 소자를 사용하여 레지스터 회로를 구성해보는 실험이었다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.07.20
  • 아주대 전자회로실험 실험4 정궤환 회로 예비보고서
    :분 반:학 번:성 명:실험4 예비보고서- 정궤환 회로 -1. ... 슈미트 트리거 회로는 입력신호가 들어 왔을 때 특정한 2개의 논리 상태 중 한개의 상태를 선택적으로 출력시키는 회로로, 2개의 문턱전압(V _{TH},V _{TL})의 값을 통해 High ... 실험 기기1) Oscilloscope2) DC Power Supply, DMM3) 저항 : 1kΩ저항 2개, 1kΩ 가변저항, 4.7kΩ, 10kΩ, 22kΩ 저항 각 1개4) 축전기
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.22
  • 8장 순차논리회로 설계 및 구현(2) 예비
    디지털공학실험 ? 8장, 순차논리회로 설계 및 구현(2) 예비보고서1. ... 이 장의 실험 목적에 대해서 스스로 생각해 보고 기술하라.☞ 카운터에는 동기식 상향 카운터와 하향 카운터가 있는데 이들을 각종 플립플롭과 조합논리게이트들을 사용하여 어떻게 구현하고 ... [그림 8-1] 직렬 인에이블 논리를 가진 동기식 4비트 이진 카운터2) D 플릅플롭을 이용한 동기식 카운터가장 많이 쓰이는 MSI카운터는 적재 및 클리어 입력을 갖는 동기식 4비트
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 시립대 전전설2 A+ 5주차 예비레포트
    )을 사용하여 아래의 진리표를 가지는 논리회로를 간단히 assign문을 이용하여 설계하고, 테스트벤치 수행 후 장비 동작확인입력: a, b, c, d -> BUS SW 1, 2, 3 ... ’b11이고 S가 0일 때와 1일 때A-2’b10, B=2’b01이고 S가 0일 때와 1일 때장비에서 동작을 검증하시오.6) 응용 과제case문을 사용하여 아래의 진리표를 가지고 논리회로를 ... 참고 문헌전자전기컴퓨터설계실험II 강의 교안(Lab-05 Encoder and Multiplexer)전자전기컴퓨터설계실험II 강의 교안(HBE Combo II-DLD)전자전기컴퓨터설계실험II
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • 서울시립대학교 전전설2 5주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Results of Lab 7 (응용과제)- 다음의 진리표를 가지는 논리회로를 설계하시오.입력 : a,b,c,d -> Button SW1 ~ Button SW4출력 : f : LED1 ... Results of Lab 6- 교안의 1:4 DEMUX 회로를 if 문을 사용하여 설계하시오.입력 F: Bus SW1입력 S1 : Button SW1, S2 : Button SW2출력 ... Results of Lab 1- 용해 최적화 한 코드(case와 Karnaugh map 이 동일하다.)2:4 DecoderTest benchSimulation 결과Pin 연결- 실험
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대