• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 481-500 / 3,568건

  • SK하이닉스 회로설계직 합격자소서
    디지털 회로 실험 프로젝트로 논리게이트나 verilog를 이용하는 프로젝트에서 논리게이트를 이용해 엘리베이터 미니어처 제작을 시도했습니다. ... 하지만 지금은 경험이 저를 더 많은 것을 보게 해 줄 것으로 생각합니다.4.회사에 제시하고 싶은 자신의 모습(자유기술) [1000자 이내]최근에 포스터 제작을 한 적이 있습니다. ... 그 후 아날로그 회로뿐만 아니라 디지털회로 설계 수업에서도 설계하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.19
  • 연세대학교 일반대학원 시스템반도체공학과 학업계획서
    저는 OO대학교 반도체공학과에 재학을 하면서 논리회로실험1,2, 컴퓨터프로그래밍기초, 반도체개론, 회로이론1,2, 반도체소자공학1,2, 논리회로1,2, 센서응용공학, 전자회로1,2, ... 연세대학교 대학원 시스템반도체공학과 연구실에 진학을 하고 나서 22.9pJ/frame.pixel 및 92dB 동적 범위를 갖춘 완전 디지털 시간 모드 CMOS 이미지 센서 연구, Zr3N4 ... 학부에서 경험한 바로도 실험 OO나 환경 수준이 명문대에 미치지 못하는 것을 확인하였기 때문에 연세대처럼 좋은 대학원에서 OOO과정을 이수하고 싶다는 생각을 하게 되었습니다.3.
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.01.27
  • 아날로그및디지털회로설계실습 / 예비보고서 / 7. 논리함수와 게이트 /
    (B) AND 게이트와 OR 게이트 각각의 입출력 시간 딜레이를 측정할 수 있는 방법에 대해 조사하고 딜레이를 가장 정확하게 측정할 수 있는 방법의 실험 방법을 설계한다.논리게이트의 ... 아날로그 및 디지털 회로설계 실습예비보고서(설계실습7. 논리함수와 게이트)7-3. ... 설명하고, 그 정의에 따라 진리표를 만들고, 4x2 회로도를 설계한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.11.27
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 2주차 예비+결과(코드포함) HBE-Combo2-SE board
    실험목표1)Xilinx를 활용하여 간단한 논리 게이트를 설계하고, 이들을 이용하여 Instance Symbol 기능을 활용하여 복잡한 회로를 설계한다.2)Isim을 활용하여 설계한 ... 게이트 및 회로의 동작을 Simulation하고 이론과 비교 및 확인한다.나.이론적배경1)OR gate는 두 입력이 모두 0일 때만 출력이 0이고, 나머지 경우엔 출력이 1인 논리 ... 출력은 Half Adder와 마찬가지로 Sum과 C_out를 내보낸다.5)4-bit Ripple Carry Full Adder는 4개의 1-bit Full Adder로 이루어지는데,
    리포트 | 8페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 논리 소자 결과보고서
    실험 과정1) 그림1의 회로도를 보고 브래드 보드에 회로를 만드시오. ... 실험 제목논리 소자2. 목적 및 목표멀티심 프로그램을 응용하여 전압과 NOT 게이트 출력 전류를 스위치 상태를 변화 시키면서 측정하는 방법을 알아보자. 3. ... 관련 이론TTL IC의 핀 번호NOT의 논리소자 연결 방법: 7404칩의 Vcc 자리에 5v전압을 인가하고 GND 연결하면 회로안의 NOT게이트가 동작하게 됩니다.LED로 출력전압
    리포트 | 6페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 홍익대 디지털논리실험및설계 10주차 예비보고서 A+
    디지털 논리실험 및 설계 10주차 예비보고서1. ... 마찬가지로 3,4번 째 FF의 출력도 주파수가 절반이 되어 변환된다.2.2 기본실험 (2)※모든 Q0-Q3 값을 LOW(0)로 설정 후 진행. ... Q’는 Q에 NOT 게이트를 취한 값과 같기 때문이다.1.3 응용 실험 (1)이 십진 카운터로 동작하는 원리에 대하여 서술하시오.4bit 카운터는 0000~1111즉 0부터 15까지의
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 예비보고서(4) 카운터 counter
    실험제목 :Counter- 예비보고서1. 목적이 장에서는 조합논리회로와 순서논리회로에 대한 대표적인 응용회로인 카운터에 관해서 그 동작 특성을 실현한다. ... Fundamentals_8th &10th Edition」- 「디지털공학실험」기본논리게이트, 플립플롭, 시프트 레지스터, 카운터- Logic works 프로그램 ▷▶ 다음페이지부터 ... 실험순서(1) 디지털 실험기판 위에 비동기식 카운트-업 카운터 회로(a)를 구성하고CLR을 0 → 1 로 하여 모든 플립플롭들을 해제 (clear) 시키고CLK에 클럭 펄스를 하나씩
    리포트 | 11페이지 | 2,000원 | 등록일 2020.10.14
  • 서울시립대학교 전전설2 2주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    간단하게 표시한 PAL 회로도- CPLD(Complex Programmable Logic Device) Hyperlink \l "주석4" [4]CPLD는 PAL과 FPGA의 특징을 ... 따라서 XC3S200에서 구현 가능한 최대 논리 게이트 수는 4,320인 것 같다. ... 해보자면 4,320 ~ 200,000개의 논리 게이트 기능을 할 수 있을 것이라 생각한다.밑에는 LUT가 무엇인지 궁금해서 사진을 추가하였다. 4-input LUTHBE Combo-II
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.10.24
  • 12주차-실험23 결과 - ADDA 변환기
    2015년도 제2학기기초회로실험Ⅱ기초회로실험실험23. ... AD/DA 변환기담당교수 : 교수님학 부 : 전자공학부학 번 :이 름 :실 험 조 :제 출 일 : 2015. 11. 25실험제목 : AD/DA 변환기실험(4) 의 회로를 연결하시오.V0 ... R/W=0일 때 TM기 모드가 활성화되어 외부 디바이스에서 아날로그 신호를 얻을 수 있습니다.이번 실험실험 (4)와 실험 (5) 모두 결과 값이 이론값과 비슷하게 나왔습니다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.10.02
  • FPGA구조와 ASIC 설계 방법 실험 레포트
    논리 블록에는 룩업 테이블을 위한 4개의 입력과 클럭 입력이 있다. ... 예비 레포트- 실험날짜 : 2018년 11월 27일- 실험주제 : FPGA구조와 ASIC 설계 방법- 예비이론• FPGA & ASIC 정의FPGA(Field Programmable ... 일반적으로 모든 라우팅 채널은 동일한 (전선수) 폭을 가지고 있다.응용회로는 적합한 자원을 가지는 FPGA를 반드시 매핑해야한다.일반적인 FPGA의 논리 블록은 아래에 보이는 것처럼
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • 디지털 논리회로 실험 6주차 ALU 결과보고서
    실험 과정 및 실험 결과4.1 기본 실험(1) ALU를 이용하여 �狼司狼狼煬禮挻踊狼司司狼煬禮� (OR 가 아닌 실제 덧셈)을 계산하시오.사진 1. 4.1 기본실험 (1) 회로입력값과 ... 디지털 논리회로 설계 및 실험결과보고서주제 : ALU소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... 논리를 수행하는 산술논리 연산 장치인 ALU를 이용하여 다양한 수학적 연산들을 해보고 데이터 값을 받아 그 값들을 비교해보는 실험을 하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리 회로 실험 디코더 엔코더 결과 보고서
    디지털 논리 회로 실험 결과 보고서실험 5. ... 디코더와 인코더◎ 실험 5-1.- 실험 방법 : 7404 IC와 7408 IC 핀 배치도를 참조하여 2x4 디코더 회로를 그림과 같이 구성한다. 7404와 7408의 7번 핀은 접지하고 ... B=1, A=1 입력일 때 ◎ 실험 5-2- 실험 방법 : 7432 IC의 핀 배치도를 참조하여 4x2 인코더 회로를 그림과 같이 구성한다. 7432의 7번핀은 접지하고 14번 핀은
    리포트 | 8페이지 | 2,000원 | 등록일 2021.12.31
  • 서강대학교 디지털논리회로실험 레포트 6주차
    이론1) sequential 회로 : 순차 논리 회로(sequential)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 말한다. ... 이는 우리의 실험 값과 동일하며, JK flipflop으로서 잘 작동했다고 볼 수 있을 것이다.STEP15)익혀보자.Simulation은 회로의 설계과정에서 대상 회로가 의도한대로 ... LD4의 G에 연결된 IBUFG는 clock-dedic IBUF사진을 첨부하였다.
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 충북대학교 전자공학부 기초회로실험 인코더와 디코더 회로 예비보고서
    )- 디코더 (Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다. ... 의 2선-4선 decoder 회로와 같이 2진수 입력 BA의 4가지 조합의 부호들을 받아 서로 유일하게 구분되는 4개의 출력으로 바꿔주는 회로를 말한다. 회로의 인에이블 ... ◆ 실험목적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 사용방법을 익힌다.◆ 이론-encoder
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 서울시립대학교 편입 학업계획서
    회로 및 컴퓨터 과목 관련해선 회로이론, 논리회로, 전자회로를 수강함으로써 회로의 기본동작에 대해 공부했습니다. ... 그리고 실험 수업은 전자전기컴퓨터 설계 실험 Ⅲ과 통신공학실습을 통해 전적대에서 배운 전기전자기초실험의 심화과정을 이수하겠습니다.4학년 때는 디지털 제어를 공부하고 이어서 컴퓨터구조 ... 그리고 ‘전기전자기초실험’에서는 OrCAD와 PSpice를 활용해 회로의 설계도를 직접 짜고, 각 부품들의 특징을 이용해 이론으로만 배운 클리핑*클램핑 회로, Op-Amp2.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.12.26 | 수정일 2022.10.01
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    위의 회로는 마치 2x4 decoder의 동작을 보이고 있다.? ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... 실험 결과결선도회로?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 5장 논리게이트
    실험 회로도3. ... 게이트를 이용한 4비트 2진수의 1의 보수 또는 2의 보수를 취하는 회로 구성● 가상적 결함에 대한 보수 회로의 고장 진단2. ... 실험목표이 실험에서는 다음 사항들에 대한 능력을 습득한다.● 실험을 통한 OR 및 XOR의 진리표 작성● 펄스 파형을 이용한 OR 및 XOR 논리 게이트의 테스트● OR 및 XOR
    리포트 | 7페이지 | 1,500원 | 등록일 2020.05.19 | 수정일 2022.08.03
  • 7. 논리함수와 게이트 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 7 예비보고서-논리함수와 게이트학과 :담당 교수님 :제출일 :조 :학번 / 이름 :7-1. ... 실습목적여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다.7-2. ... XNOR 게이트 설계XOR gate에 인버터를 추가한 회로도 가능하나 다른 회로로 설계해보았다.입력출력입력 V1입력 V2001010100111XNOR의 진리표 대로 출력파형이 나온
    리포트 | 9페이지 | 1,000원 | 등록일 2022.09.06
  • 논리게이트 결과보고서 A+
    실험목적NAND, NOR, NOT 게이트의 진리표를 실험적으로 결정한다.다른 논리 게이트를 만들기 위해 NAND와 NOR게이트를 사용한다.2. ... 표 3-4와 3-5는 NOT게이트를 각각 NAND게이트와 NOR게이트로 나타낸 것이다. ... 결과 분석각 실험 결과는 7400 2입력 NAND게이트와 7402 2입력 NOR게이트를 사용하여 여러 논리 게이트를 구현한 것이다. 표 3-2는 NAND게이트를 구현한 것이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.11
  • PLC제어 ) 1. 우리 주변에서 PLC제어가 사용된 장치, 설비, 기계, 시스템 등을 확인하여 2. 각 장치들이 어떠한 조건을 가지고 동작하는지 검토한다.
    이러한 조건을 반영하여 PLC용 타임차트를 그리고, 릴레이 회로, 로직회로, PLC 래더도와 양논리 회로 및 니모닉 프로그램을 작성한다.4. ... - 회로도 타임차트- 센서 회로도응집 및 침전설비, 약물투입실, 작수정, 혼화지, 여과지 설비, 염소 설비, 배출수 처리, 전기실, 실험실에 모두 적용된다.1. ... 작성된 타임차트, 릴레이 회로, 로직회로, 래더도, 양논리회로, 니모닉 프로그램 각각에 설명과 주석을 작성한다.1.
    리포트 | 11페이지 | 5,000원 | 등록일 2021.08.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대