• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 421-440 / 3,568건

  • 6주차-실험17 예비 - 쉬프트 레지스터
    2015년도 제2학기기초회로실험Ⅱ기초회로실험실험17. ... 실험 시작 후 4clock 후에 출력 A에 1이 입력되어 A->B->C->D->A 순으로 1만 순환하게 됩니다.(5) 의 회로를 구성하고, 다음의 과정을 따라 실험하라.1. ... ⇒ 실험 4에서 클럭의 주기는 100ns인데 그것이 5번 다른 결과가 나오고 그 이후로 반복되므로 주기는500ns이다.(4) 이제까지의 실험으로 보아 쉬프트 레지스터는 직렬 데이터와
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.17
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 결과 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    나오는 부분만 보면 F=A’BCD+AB’CD+ABC’D+ABCD’로 논리회로를 설계할 수 있다.(2)회로도본 lab05 실험에서 실습하던 조합회로보다 상당히 복잡한 모습을 확인할 ... 이 실험의 결과값은 0과 1을 도출해내면 되는 실험으로 오류 없이 원하는 값을 확인할 수 있었다.4. 참고문헌전자전기컴퓨터설계실험2 교안 ... 하지만 이렇게 수정해도 오류가 떴고 결과적으로는 아예 배제해야 함을 알 수 있었다.2) 2bit mux2bit mux의 진리표와 논리회로에 대해서 생각해보고자 한다.2bit mux라
    리포트 | 22페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... 'D2D3' + D0'D1'D2'D3B = D0'D1D2'D3' + D0'D1'D2'D3논리 회로도(3) 8421(BCD, Binary Coded Decimal, 2진화 10진수) ... = A'B, D2 = AB', D3 = AB논리 회로도(2) 인코더(encoder, 부호기)- 디코더와 반대로 2^n개의 입력과 n개의 출력을 가지고 있으며 출력값은 입력값에 대응하는
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 전자전기기초실험1-3
    일반실험3: 기본 논리회로(예비보고서)학번:이름:김**1. ... [(X+Y)&(X+Z)]: 3ms부터 1값을 표시F2[X+(Y&Z)]: 3ms부터 1값을 표시THEREFORE F1과 F2는 같은 논리 회로이다. ... 반대의 값을 출력F08(AND): X, Y 모두 1일 때 1을 출력F32(OR): X, Y 둘 중 하나라도 1일 때 1을 출력실험3-2)TTL을 이용한 부울대수의 공리 및 정리 확인0ms1ms2ms3ms4ms5ms6ms7msX00001111Y00110011Z01010101F100011111F200011111F1
    리포트 | 2페이지 | 1,000원 | 등록일 2021.04.08
  • 전기및디지털회로실험 실험M2 예비보고서
    (직류회로에서의 측정 실험)- 가산기이전 실험 자료를 참고한다. (논리조합회로의 설계 실험)4. ... 전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2이론 조사2실험 기기2예비보고서 문제풀이2실험 순서3참고 문헌8실험실험 M2. ... 실험 순서- 키르히호프의 전압법칙 및 전류법칙(1) “직류회로에서의 측정 실험”의 그림 2의 회로를 브레드보드에 구성하고, V1 전원의 양극에는 아두이노 보드 파워의 5V를, 음극에는
    리포트 | 8페이지 | 1,000원 | 등록일 2023.06.30
  • 부산대학교 기전실 스톱워치 설계
    추가 기능 설계Part 4. 간단한 팁논리회로 및 기초전자전기실험으로 배운 지식을 활용하여 스톱워치를 설계하는 과정입니다. ... 김재호, 김성신, 남일구 공저 / 논리회로 설계 및 실험 / 부산대학교출판문화원 / 2021년 02월 26일3. ... 논리회로(EE30396) 강의 자료제 2장 조합회로 시스템제 3장 카르노 맵제 5장 대형 조합회로제 6장 순차시스템의 해석제 7장 순차회로 시스템의 설계4.
    리포트 | 7페이지 | 2,500원 | 등록일 2021.07.20 | 수정일 2023.06.06
  • 디지털 논리회로 실험 5장 예비레포트
    논리회로실험 A반예비 레포트5장드모르간의 정리조실험일제출일(1)이장의 실험 목적에 대하여 기술하시오.쌍대의 원리와 드.모르간의 정리를 이해하고, 실험을통해 증명한다. ... 이것은 논리식의 간단화 또는 변환등에 많이 사용되는 중요한 정리이다.(4) 드.모르간의 정리를 등가회로의 예를 들어 기술하시오.입력출력ABXY00*************0논리식을 드.모르간의 ... 또한 쌍대의 원리와 드.모르간의 정리를 이용하여 논리함수를 간략화하고, 논리회로를 간소화하는 능력을 기른다.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.11.25
  • 실험21_De Morgan의 법칙_결과레포트
    21.5 De Morgan의 법칙2 (NAND→OR)이러한 게이트 변환의 성질 때문에 복잡한 논리회로를 간략화 시키는데 사용된다.2. ... 논리 전압 레벨은 74LS00과 동일하다.⑶ De Morgan의 법칙De Morgan의 법칙에는 두 가지가 있다. ... 회로를 구현하는 IC칩은 74LS00으로 4개의 NAND 게이트를 구동할 수 있는 TTL이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.15
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    = 4는 앞과 뒤가 다르므로 1을 출력하였다.세 번째, ‘>’는 앞의 값이 뒤의 값보다 큰 경우 1, 아닌 경우 0을 출력하는 논리 부호이다. 1 > 2는 앞보다 뒤가 더 크므로 0을 ... 가능함을 볼 수 있었다.이번 실험에서는 코딩으로 회로를 구현할 수 있는 것을 보았다.이러한 두가지 실험을 토대로 코딩을 통해 회로를 만들고, 이 회로를 새로운 소자로 활용하는 것을 ... Studies from this Lab이전 실험 lab02에서는 하나의 회로를 만들면 그 회로를 패키징 하여 이 회로가 반복적으로 활용되는 새로운 회로에서 이 회로를 소자로써 활용이
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 기초전자회로실험 - D래치및 D플립플롭 예비레포트
    실험 방법 :15-(1) : S‘R’래치를 구성하라 여기서는 선이 SPDT스위치의 역할을 대신한다. LED는 논리 모니터로 사용된다. ... 논리1이 입력되면 패리티에는 어떤일이 생기는가? 논리 0이 입력되면 어떤일이 생기는가?17-(1) : 회로를 구성하라. ... 직류전원 공급 장치(DC Power Supply) :직류전원 공급 장치(DC Power Supply)는 직류전원(DC Power)을 발생시켜 회로에 공급하는 가장 기본적인 실험 장비다
    리포트 | 14페이지 | 2,000원 | 등록일 2021.02.27
  • 한화시스템 방산 자기소개서+면접질문 [취업 완벽대비]
    그 외에도 전기회로실험, 디지털시스템실험, 전자회로실험, 종합설계 등의 실습과목을 통해 배운 지식을 응용하여 실전에 적용하며 프로젝트를 진행해 보았습니다. ... 가장 어려웠던 점은 7세그먼트, 플립플롭, 디코더, 레지스터 등의 논리회로를 모두 사용하여 원하는 프로그램을 구현하며, 동시에 조원과 함께 협업하며 코딩하는 것이었습니다. ... 있었습니다.이 경험을 통해 고객에게 최고의 가치를 제공하기 위해서는 원칙과 프로세스를 지키며 현실과 타협하지 않는 자세를 바탕으로 업무를 수행해야 한다는 것을 배울 수 있었습니다.4.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.02.02
  • 디지털회로실험 시프트 레지스터, 링카운터, 존슨카운터
    (9)1000-토의실험 1) 시프트 레지스터(Shift Registers)시프트 레지스터는 2진식 정보를 좌 혹은 우로 shift 시킬 수 있는 레지스터이다.시프트 레지스터의 논리적 ... 실험 과정실험 1) 시프트 레지스터(Shift Registers)-그림과 같이 회로를 결선한 후 CLK입력으로 함수발생기를 사용하여 0.2Hz의 주파수를 준다.- 2번 단자엔 5V를 ... (8)0001실험 3) 존슨 카운터표 14-4 존슨 카운터 출력결과CLR클록펄스수Q_3Q_2Q_1Q_000000100001downarrow(1)10001downarrow(2)11001downarrow
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 다이오드 특성 (직병렬 회로) 결과레포트
    1-2이 실험은 역방향 바이어스 일 때 다이오드에흐르는 포화전류를 확인하기 위한 실험이다.역방향 포화전류가 매우 작기 때문에 1Mega 가변저항을 이용하였다. ()따라서 =8.4nA측정결과 ... =0.3V 로 측정되었다.이론값=0.7V =0.3V측정값=0.65V =0.3V로 측정되었다.이론값=0.7V측정값=0.65V실험 2-3 (양논리 AND 게이트)측정결과는 진리표와동일했다다이오드 ... 실험 제목: 다이오드 특성 / 직렬 및 병렬 다이오드 구조요약문이번 실험은 다이오드 중 si다이오드를 이용하여 다이오드의 특성을 알아보는 것과 직렬 및 병렬의 다이오드 회로를 구성하여
    리포트 | 6페이지 | 2,000원 | 등록일 2022.05.01
  • 연세대학교 전기전자공학부 편입학 학업계획서
    전적대학교인 OOOO대학교에서 컴퓨터구조, 데이터구조, 디지털논리회로, 프로그래밍이론, 컴퓨터및회로설계특론, 통신이론, 디지털통신, 전자기학1,2, 디지털제어공학, 기초회로이론, 광통신공학 ... 학습목표 및 계획제가 연세대학교 공과대학 전기전자공학부에 편입학하게 된다면, 시스템공학특론, 네트워크실험, 전력및제어실험, 오류정정부호, 의용전자, 선형시스템이론, 아날로그집적회로설계 ... 하지만 4년 전액 장학금을 주겠다던 OOOO대학교에 진학하게 되었고 그것은 사실 부모님의 권유 때문이었습니다.
    자기소개서 | 2페이지 | 3,800원 | 등록일 2021.01.11
  • 전자회로실험 실험5. 차동 증폭기 예비 보고서
    실험기자재 및 부품3.1 사용기기■ 직류전원■ 멀티미터3.2 사용부품■ 전원■ 트랜지스터■ 저항■ 변압기, 스위치4. 실험방법 및 순서(생략)5. ... 전자회로실험- 실험5. 차동 증폭기 예비 보고서제출자 성명학번학과학년분 반▣ 실험5. 차동증폭기1. ... 연산 증폭기나 Emitter coupled 논리 게이트의 입력단에 주로 쓰인다. 여기서 한 개의 입력단자를 가진 증폭기도 차동 증폭기의 범주에 포함된다고 볼 수 있다.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.12.06
  • 가산기와감산기
    조합논리 회로이다2진 병렬가산기전가산기 여러 개를 병렬로 연결하여 2비트 이상인 가산기를 만들 수 있는데, 이를 병렬가산기라 한다.실험1실험부품:7408gate,7486gate,직류전원 ... 8.가산기와 감산기반가산기한자리 2진수 2개를 입력하여 합과 캐리를 계산하는 덧셈회로전가산기2진수 입력 2개와 아랫자리 캐리까지 포함하여 한자리 2진수 3개를 더하는 조합논리회로이다.반감산기한비트의 ... 직류전원 공급장치에 5V를 연결 S,C에 대해 출력값을 기입한다.예상결과INOUTABnCn-1SCLLLLLLLHHLLHLHLLHHLHHLLHLHLHLHHHLLHHHHHH실험4실험부품
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.25
  • 디지털회로실험 가산기, 감산기 실험 레포트
    디지털회로실험실험보고서제목 : XOR 게이트(XOR, 1비트 비교기, 보수기)가산기와 감산기(전가산기, 전감산기)1. ... `=` {bar{A}} B``- [그림 3] 비교기 논리회로 - [표 3] 비교기 진리표입력출력ABA=BA ! ... bar{A`OPLUS B}} `=`A` ODOT B#F _{2} `=` {bar{A}} B`+A {bar{B}} `=`A` OPLUS B#F _{3} `=`A {bar{B}}#F _{4}
    리포트 | 10페이지 | 1,500원 | 등록일 2020.12.13
  • (디지털실험A+)디코더를 이용한 조합논리_결과보고서
    Experiment-Report(8장 디코더를 이용한 조합논리)1. 실험목적디코더를 이용한 다중 출력 조합 논리 회로를 구현한다.2. ... 또한 디코더와 반대로 인코더도 실험을 하게 되면 단순히 디코더만 실험한 것보다 의미 있을 것이라 생각한다.고찰문제And 와 not gate를 이용하여 2*4(입력 2, 출력 4)인 ... 그래서 LED불은 꺼지게 된다.이번 실험을 통해 디지털 공학에서 배운 디코더에 대해 다시한번 상기하는 시간을 가졌고 회로를 직접 설계해봄으로써 이론적인 내용을 몸에 익히게 되었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.05
  • 외국계자기소개서- 2018 TexasInstrument Korea Sales Intern(기술영업인턴)
    , 컴퓨터프로그래밍, 논리회로]1학년 때 논리회로 과목을 수강하며, 아날로그와 디지털의 개념을 이해하고 이를 통해 컴퓨터의 구조와 센서의 값을 어떠한 방식으로 전달할 수 있는지 학습하였습니다.컴퓨터를 ... 통하여 논리표를 통해 7segement를 제어하고, 스텝 모터와 관련된 실험을 진행하였습니다. ... 와서 모르는 내용을 지속해서 직원들에게 여쭈어 보고 동시에 인터넷 검색을 통하여 정확한 정보를 수집하고 마지막으로 현장에 직접 방문하여 정보와 실제상황과 일치하는지 확인하였습니다. 4개월이
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.06.01 | 수정일 2020.11.26
  • 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 예비 보고서
    디지털논리회로실험예비 보고서[2주차]실험 2. Digital Logic Gates1. ... 실험 목적1) TTL의 동작 원리를 확인한다.2) 주어진 진리표를 논리식으로 최적화한다.3) 논리식을 TTL로 구현하여 그 동작을 확인한다.4) Xilinx ISE로 설계된 회로를 ... 관련 이론1) TTL (Transistor Transistor Logic)반도체를 이용하여 구현한 논리회로의 한 종류이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대