• 통큰쿠폰이벤트-통합
  • 통합검색(55,572)
  • 리포트(50,028)
  • 시험자료(1,792)
  • 자기소개서(1,159)
  • 방송통신대(805)
  • 논문(678)
  • 기업보고서(539)
  • 서식(256)
  • 표지/속지(176)
  • ppt테마(116)
  • 노하우(14)
  • 이력서(9)

바로가기

시계 독후감 - 시계 관련 독후감 39건 제공

"시계" 검색결과 681-700 / 55,572건

  • 시계 발전과정
    1900년대 이전 회종시계:단순히 시간을 확인하는 용도로 사용1900년대 초 괘종시계:1차 세계 대전의 영향으로 전쟁의 혹독한상황에서 쉽게 볼 수있도록 손목시계 개발1900년대 중반 ... 특정 용도에 따라 사용 할 수 있는 시계의 계발의 계기 ... 크로노그래프:2차 세계 대전의 영향으로 전쟁에서 정밀한 시간을초 단위이하로 젤 수 있는 크로노그래프가 개발 1900년대 말 디지탈 시계:과학기술의 발전으로 디지털 기술을 점목 시켜
    리포트 | 2페이지 | 1,000원 | 등록일 2009.05.28
  • 디지털 시계 설계 보고서
    SoC 설계(디지털 시계)목차1. 서론2. 설계 목표3. 시계 구조4. 검증5. 고찰6. 참고문헌7. 소스 코드1. ... 시계 구조1) 시계 부분그림 시계 회로의 회로도module :6진 카운터 2개 : 분주 클럭이 증가하거나 Enalbe입력이 들어갈 경우 0에서 5까지 변한다.Clk_ref1초를 분주하는 ... 설계목표Verilog HDL를 이용한 시계코드의 작성부터 포팅을 통한 동작의 확인을 목표로 잡았다.
    리포트 | 19페이지 | 2,000원 | 등록일 2012.05.29
  • 디지털 시계 설계 발표자료
    디지털 시계 설계목 차1. 서론 및 설계목표 2. 설계계획 3. 설계과정 4. 작동시범 5. 결과 및 고찰 6. 참고문헌*1. ... 서론 및 설계 목표Altera사의 Excalibur칩을 사용한 SoC설계및 검증 도구인 Huins사 SoC Master에 알맞은 시계를 verilog코드 작성에서부터 실제 구현까지
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • 시계반응 (농도에 따른 반응 속도) 실험 보고서
    실험보고서탐 구실험명농도에 따른 반응속도 실험일 시2011 년 4 월 16 일탐 구주 제농도에 따른 반응속도 실험(시계반응)실험목표화학반응속도는 농도, 온도 및 촉매의 영향을 받는다 ... 이 실험에서는 소위 시계반응을 이용하여 2I + SO -> I + 2SO 반응의 반응속도에 미치는 농도의 영향을 조사하고, 반응속도상수 및 반응차수를 구하는 방법을 습득 한다준비물묽은 ... NaHSO3, 묽은 KIO3, 녹말용액, 증류수, 플라스틱병, 용액주입용3ml주사기, 젓개, 초시계, 플라스틱 비커50cc, 반응 장치.멀티 스탠드 A형, 24홈판, 비닐장갑,주
    리포트 | 4페이지 | 1,000원 | 등록일 2016.03.27
  • 베르제시계(주)
    기업보고서
  • 실험2)시계반응
    실험제목 : 시계 반응3. 실험 조 및 조원 : 2조 (차민규, 이상권, 문혜경)4. ... 실험목적 : 시계 반응을 이용해서 반응 속도의 차이가 큰 반응 단계가 연속적으로 일어나는 화학반응의 특성을 알아본다.5. ... 결론 및 논의실험 결과를 통해 나타낸 반응식은 다음과 같다.시계반응을 이용하여 반응속도식의 변수 n, m, 그리고 k의 값을 구하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.01.23
  • VHDL을 이용한 세계시계 구현
    동시시간o 현재시간과 동시에 세계시간을 보여줌으로서 순서대로, -9시간의 런던, -2시간의 하노이, -10시간의 뉴욕, +1시간의 시드니를 표시한다.5. 12시제, 24시제 표시(세계시계에서도
    리포트 | 16페이지 | 4,000원 | 등록일 2012.05.18
  • 마이크로프로세서 프로젝트 report (8051컨트롤러를 이용한 디지털시계)
    CLOCK : 초 단위 표시의 전자시계12시간 초 단위 표시 시계로 알람기능이 있는 디지털 전자 시계이다.오른쪽 하단의 스위치로 값을 조정해 줄 수 있다. ... 이를 이용하여 디지털시계장치, 외부에 일정 간격의 인터럽트 발생 및 지속적인 동작 수행이 가능하다. ... 목적어셈블리어로 작성된 8051 컨트롤러를 이용해서 4가지 동작을 가지는 디지털 시계를 직접 구현하고 구현 알고리즘을 분석하여 사용되는 8051 컨트롤러의 명령어와 인터럽트 타이머/
    리포트 | 26페이지 | 1,500원 | 등록일 2016.02.15 | 수정일 2016.06.02
  • ARMA-PL: 시계열 데이터에 나타나는 중첩된 주기 및 선형추세에 대한 고찰 (ARMA-PL : Tackling Nested Periods and Linear Trend in Time Series Data)
    한국산업경영시스템학회 서정열, 이세재, 오현승, 구자활, 임택, 조진형
    논문 | 15페이지 | 4,800원 | 등록일 2017.01.04 | 수정일 2023.04.05
  • MFC, OPENGL로 구현한 아날로그 시계
    현재시간표시시 분 초 바늘이 표시분침 시침의 1초단위 이동대화상자 크기조정화면업데이트시 깜빡이 미발생확인 취소버튼그래픽라이브러리 OpenGlUI라이브러리 MFC실행시 필요한 OpenGL dll첨부
    리포트 | 15페이지 | 5,000원 | 등록일 2012.03.27
  • 시계반응 결과 리포트
    ? 4주차 결과 레포트5. Result? 실험 결과1번 혼합물 = 10.0mL 0.20M KI + 10.0mL 0.10M3번 혼합물= 10.0mL 0.20M KI + 5.0mL 0.10M+ 5.0mL 0.10M4번 혼합물반응 혼합물변색까지 걸린 시간 (t)상대 반응 속..
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.02
  • 아날로그시계 렙뷰 프로젝트
    그래서 책에 ‘아날로그 시계’라는 예제가 있길래 방향성을 시계로 잡았다. 수업시간에 조교님들이 보여주신 디지털 시계가 떠올라 아날로그시계도 할 수 있을 것 같았다. ... PROJECT완성된 아날로그시계의 Front Panel완성된 아날로그 시계의 Block Diagram2. ... 예제 문제로 나와 있던 아날로그시계는 알고리즘도 어렵고 그야말로 시계판(게이지)만 있어서 참고만 하고 하나하나 C언어 알고리즘 짜는 것처럼 생각해서 ‘아날로그 시계’를 구현할 수 있었다.LABVIEW
    리포트 | 5페이지 | 4,000원 | 등록일 2012.03.21 | 수정일 2015.09.04
  • 리눅스를 이용한 시계설계. 프로세스설계
    설계 결과 보고서-디지털 시계구현-Contents-설계목표&기준설정-배경이론-소스-결과-목표 : 리눅스의 디바이스들을 이용해 디지털 시계를 구현한다.
    리포트 | 12페이지 | 5,000원 | 등록일 2013.03.12
  • Quartus digital clock project 쿼터스 디지털 시계 프로젝트 A+
    디지털 회로 설계 실험 수업에서 A+ 받은 자료입니다.쿼터스로 디지털 시계 설계했고 모델심으로 확인도 가능합니다.한학기동안 진행했던 프로젝트로 DE2 보드에서 정확히 돌아갑니다.편한
    리포트 | 10,000원 | 등록일 2017.06.12 | 수정일 2021.04.22
  • 시계 반응 예비 리포트
    Title : 시계 반응2. Abstract- 시계 반응을 이용해서 반응 속도의 차이가 큰 반응 단계가 연속적으로 일어나는 화학 반응의 특성을 알아본다.3. ... 시계반응을 간단히 정의하여라.- 속도 결정 단계가 포함된 메카니즘으로 일어나는 화학 반응 중에서 반응이 시작되고 일정한 시간이 지난 후에 갑자기 용액의 색깔이 변화하는 반응? ... 이번 실험에서 시계반응의 두 단계 반응식을 정리하고, 속도결정단계를 결정하시오.--- 첫 번째 반응이 매우 느리게 진행되므로 속도결정단계의 정의에 따라 첫 번째 단계에서 속도가 결정된다
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.02
  • 시계와의자(노랑)
    L/O/G/OPowerpoint TemplateAdd your company sloganContentsAll phrases can be replaced with your own text.All phrases can be replaced with your own text..
    ppt테마 | 20페이지 | 2,000원 | 등록일 2010.10.18
  • 시계와의자(불루)
    L/O/G/OPowerpoint TemplateAdd your company sloganContentsThis is a placeholder textThis is a placeholder textThis is a placeholder textThis is a place..
    ppt테마 | 20페이지 | 2,000원 | 등록일 2010.10.18
  • 시계와의자(그린)
    L/O/G/OPowerpoint TemplateAdd your company sloganContentsAll phrases can be replaced with your own text.All phrases can be replaced with your own text..
    ppt테마 | 20페이지 | 2,000원 | 등록일 2010.10.18
  • 시계태엽 오렌지 독후감 감상문
    시계태엽 오렌지』란 제목을 듣는 순간 알 수 없는 궁금증과 호기심이 나를 휘감았다. ... 배경의 그림과 제목 때문이었을까.이렇게 첫 장을 펼친 『시계태엽 오렌지』는 읽는 내내 정말 스릴감 있게 작품을 썼다고 생각했다. ... 또 주인공이 입원을 하였을 때 설득을 하는 장면은 현대 사회를 비판하는 것 같았다.그리고 『시계태엽 오렌지』를 보고 느낀 다른 한 가지는 본성을 고치기 위해 세뇌와 비인간적인 방법을
    리포트 | 2페이지 | 2,000원 | 등록일 2014.04.13
  • 시계 반응
    ① 실험제목 : 시계 반응② 실험목표 : 시계 반응을 이용해서 반응 속도의 차이가 큰 반응 단계가 연속적으로 일어나는 화학 반응의 특성을 알아본다.③ 시약 및 기구 : 삼각 플라스크 ... 그 결과 전체 반응에 대하여 관측된 속도법칙은 가장 느린 단계에 대한 그것과 같을 것이다.(4) 시계반응시계반응은 속도결정 단계가 포함된 반응 메카니즘 중에서 반응이 시작되고 일정한 ... 그 전에는 아무런 변화도 못 느끼기다가 갑자기 변화하는 반응이 시계와 같다고 해서 시계반응이라고 한다.(5) 반응 속도시간당 반응물의 속도(6) 반응 속도식반응 속도식이란 반응 과정에서
    리포트 | 3페이지 | 1,000원 | 등록일 2007.05.04
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감