• 통큰쿠폰이벤트-통합
  • 통합검색(55,573)
  • 리포트(50,028)
  • 시험자료(1,793)
  • 자기소개서(1,159)
  • 방송통신대(805)
  • 논문(678)
  • 기업보고서(539)
  • 서식(256)
  • 표지/속지(176)
  • ppt테마(116)
  • 노하우(14)
  • 이력서(9)

바로가기

시계 독후감 - 시계 관련 독후감 39건 제공

"시계" 검색결과 741-760 / 55,573건

  • [텀프로젝트] 디지털시계, 디지털 스탑워치, 디지털 타이머 (회로, 시뮬레이션, 소자, 구동원리, PPT)
    발진회로• 이 회로는 100Hz 대신 110Hz의 주파수를 클럭에 사용하는 회로입니다. 회로 상에서는 주파수에 손실이 일어날 수 있어 계산하여 10Hz를 추가하였다. 실제 기판에서는 56K에 해당하는 저항을 100K 가변저항으로 대체함으로써 이를 보완하였다. • 핀 5..
    리포트 | 31페이지 | 4,900원 | 등록일 2019.04.05 | 수정일 2024.05.14
  • 시계 반응 세미나
    시계 반응2조1. ... 우리들이 반응속도를 측정하려는 방법은 위 시계반응이라 부르는 반응인데. ... 결정 단계가 포함된 매커니즘으로 일어나는 화학 반응 중에서 반응이 시작되고 일정한 시간이 지난 후에 갑자기 용액의 색깔이 변화하는 반응을 시계반응 이라고 한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2008.10.12
  • 시계열기반의 GPS 프로브 자료의 이상치 제거 알고리즘 개발 (A Time Series-based Algorithm for Eliminating Outliers of GPS Probe Data)
    대한교통학회 최기주, 장정아
    논문 | 9페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 영화 `시계태엽오렌지` 분석
    정화되어서 돌아온 알렉스는 시계태엽처럼 과학에 의해 로봇화가 된다. 또, 여기서 오렌지는 살아있는 생명체를 의미한다고 한다. ... 시계태엽오렌지는 소설을 원작으로 하는 영화이다. 당시 원작 소설도 상당한 논란이 있었지만, 영화에서 사용된 소재 또한 대부분이 외설적이고 자극적인 것들로 가득하다. ... 이처럼 시계태엽오렌지는 과학에 의해 로봇화가 되어있지만 살아있는 생명체, 알렉스를 의미하는 것으로 받아들일 수 있겠다.알렉스는 루도비코 요법을 받는 과정에서 나치 정권의 영상을 보게
    리포트 | 3페이지 | 2,000원 | 등록일 2011.06.20
  • [5주차] 화학 반응 속도 (시계반응)
    실험 목적반응 물질의 농도를 달리하여 시계반응으로 속도를 측정하고, 반응속도 상수와 반응차수를 구한다.2. ... 화학 및 실험2담당교수 : 심재철 교수님담당조교 : 이진우 조교님학과 :학번 :이름 :조번호 :실험날짜 :실험 제목; 화학 반응 속도 (시계반응)1. ... 실험 이론계산기를 반드시 지참할 것.이론으로 조사해야 할 것들① 반응 속도(간단하게)② 반응 속도 상수와 반응 차수(식과 간단한 설명)③ 시계반응의 개념(간단히)④ 반응 속도에 영향을
    리포트 | 10페이지 | 2,000원 | 등록일 2013.10.28 | 수정일 2014.11.19
  • 물리화학실험 초기반응속도에 의한 persulfate-iodide 시계반응
    이론 및 원리- 시계반응:일정시간이 경과한 후 변색, 침전의 생성등 뚜렷한 변화를 일으키는 반응으로 유도기를 가진 화학반응- 시계주기: 혼합물의 반응이 일어나지 않는 동안의 시간. ... :시계주기는 혼합물 속 이온의 농도와 반비례하고, 온도가 높을수록 짧아진다.3. ... 실험목적시계반응을 이용하여 반응 속도에 미치는 농도의 영향을 알아보고 반응속도상수 및 반응차수를 구해본다.2.
    리포트 | 17페이지 | 1,000원 | 등록일 2017.07.28
  • 알람 앱(어플) 비교 - 알람시계 Xtreme, 알람몬, 숙면 사이클 시계를 중심으로 -
    Xtreme, 알람몬, 숙면 사이클 시계알람 앱으로 여러 가지가 있었지만 그 중에서 알람시계 Xtreme, 알람몬, 숙면 사이클 시계의 세 가지를 선택하였다. ... 수를 비교하면 [알람몬 > 알람시계 Xtreme = 숙면 사이클 시계]가 된다.그런데, 숙면 사이클 시계의 경우 세 앱 중 유일하게 최초 실행시 튜토리얼 화면을 집어넣어 사용 방법에 ... 알람 앱 비교- 알람시계 Xtreme, 알람몬, 숙면 사이클 시계를 중심으로 -앱 선정 기준분야: 유틸리티 - ‘알람’바쁜 현대인에게 아침을 열어주는 ‘알람 앱’은 꼭 필요하고 소중한
    리포트 | 16페이지 | 1,000원 | 등록일 2014.06.27 | 수정일 2014.09.06
  • [CATIA] 손목시계
    손목시계 외형모델링입니다.
    리포트 | 1,000원 | 등록일 2008.07.28
  • 명품시계에 대한 이해
    시계 분야로 사업을 다각화하기 시작. ... 진학을한다.복잡하고 다양한 기계식 시계의 구조에 이전부터 보통이상의 흥미를 가지고 있었던 뮬러는 주네브의 시계학교에서 무서운 재능을 발휘하기 시작한다. ... 그러한 그의 시계는 경매에 출품되고 경이적인 가격 으로 낙찰이 된다. 프랭크 뮬러는 1958년,시계의성지( 聖地)로 알려진 스위스 라 쇼드퐁에서 태어났다.
    리포트 | 26페이지 | 3,000원 | 등록일 2010.04.08
  • 일반화학실험-시계반응
    실험 날짜 : 학번 : 이름 :실험제목 : 시계반응실험 이론시계 반응은 화학 반응에 대한 흥미를 일깨우기 위해서 많이 사용된다. 지금까지 개발된 시계 반응에는 여러 종류가 있다. ... 색깔이 변하기 전까지는 용액에서 아무런 변화도 느낄 수 없기 때문에 마치 시계바늘이 없는 자명종 시계와 같아 보인다. ... 시계반응은 마치 중화점을 찾는 반응처럼 반응 도중에 갑자기 색이 변해 그것이 마치 자명종처럼 보인다해서 붙여진 이름이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.18
  • 시계 반응
    1.날짜 : 2006.9.27 (수)2.제목 : 시계 반응목표 : 시계반응을 이용해서 반응속도의 차이가 큰 반응단계가 연속적으로 일어나는 화학반응의 특성을 알 아본다.3.시약, 기구 ... : 삼각플라스크, 피펫, 눈금실린더, 온도계, 초시계, 드롭퍼, 씻기병, 빨게, 0.2M KI, 0.10M (NH4)2S2O8, 0.005M NaS2O3, 0.20M KCl, 0.10M ... *시계반응(clock reaction) : 속도결정단계가 포함된 메카니즘으로 일어나는 화학반응 중에서 반응이 시작되고 일정한 시간이 지난 후에 갑자기 용액의 색깔이 변화하는 반응*
    리포트 | 3페이지 | 1,500원 | 등록일 2006.10.11
  • 로만손 시계 마케팅 기획서
    시계 구매 시 가장 고려하는 것은? ... 시계 광고 PR을 접하게 된 경로는? ... 시계 구매 시 투자할 수 있는 가격은?
    리포트 | 41페이지 | 1,500원 | 등록일 2010.04.01
  • 스마트 격언 알람 시계
    다른 알람 시계보다 잘 일어나도록 한다 . 다른 알람 시계보다 저렴하다 . 152. ... 스마트 격언 알람 시계 정보통신전자공학부 이정수 제안서 1목 차 Ⅰ. 서론 1. 문제제기 2. 문제 해결을 위한 단상 Ⅱ. 본론 1. 효용성 2. 알고리즘 3. ... : 뇌파 이용 → “ 큰 소리로 격언 따라 읽기 ” 를 사용하는 알람 시계를 구현하기로 결정 ! 5Ⅱ. 본론 효용성 ( 물건을 보람있게 쓸 수 있는 정도 ) 알고리즘 2.1.
    리포트 | 16페이지 | 2,500원 | 등록일 2010.03.31
  • 시계열 자료의 안정성을 고려한 항공수요 계량경제모형 개발 (The Development of Econometric Model for Air Transportation Demand Based on Stationarity in Time-series)
    대한교통학회 박재성, 김병종, 김원규, 장은혁
    논문 | 12페이지 | 4,100원 | 등록일 2016.07.11 | 수정일 2017.02.02
  • 디지털시계 설계
    위의 블록도와 같이 시계는 동작하게 되어 있다. 디지털 회로에 전원 DC 5V 와 시계의 타이머 NE555 클럭 입력을 준다. ... 블록도시계는 초,분,시로 구성되어 있다. ... 목차디지털시계의 블록도 7-segment Display 74LS47 - BCD to 7segment Decoder/Driver 동기식 모듈-N 카운터 설계 555타이머 후기 및 최종설계도디지털시계
    리포트 | 20페이지 | 2,000원 | 등록일 2008.08.20
  • [디지털시계]VHDL을 이용한 디지털 시계
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • [예비][결과] 시계반응
    색깔이 변하기 전까지는 용액에서 아무런 변화도 느낄 수 없기 때문에 마치 시계 바늘이 없는 자명종 시계와 같아 보인다. ... 200832912 강정훈 전자공학과 6조시계반응2. 목적 : 시계 반응을 이용해서 반응 속도의 차이가 큰 반응 단계가 연속적으로 일어나는 화학반응의 특성을 알아본다.3. ... 시계 반응에서는 느린 속도로 일어나는 첫 번째 반응에서 생성된 화합물이 빠른 속도로 진행되는 두 번째 반응에 의하여 곧 없어져 버리게 된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2009.12.23
  • 인하대학교 화학실험 시계반응 결과보고서
    실험제목시계반응3. 고찰이번 실험의 목적은 시계반응을 이용하여 반응속도의 차이가 큰 반응단계가 연속적으로 일어나는 화학반응의 특성을 알아보는 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2015.11.11 | 수정일 2015.11.17
  • 경제학-경제-금융관련 시계열 자료의 분석
    1.공신력 있는 기관에서 제공하는 경제-금융관련 시계열 자료를 획득하여 아래의 과제를 수행하여라. ... 단, 과제에 사용되는 시계열은 자료의 개수가 100개 이상이어야 한다(예-통계청에서 발표하는 과거 20년간 기록된 월별 경기종합지수).
    리포트 | 4페이지 | 2,000원 | 등록일 2016.09.01
  • 일반화학실험 시계반응 예비보고서 : 일반화학실험 시계반응 우수 예비 레포트 - 화학실험
    실험목적: 시계반응을 이용해서 반응 속도의 차이가 큰 반응단계가 연속적으로 일어나는 화학반응의 특성을 알아본다. 2.
    리포트 | 2페이지 | 2,000원 | 등록일 2015.06.12
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:37 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감