• 통큰쿠폰이벤트-통합
  • 통합검색(55,572)
  • 리포트(50,028)
  • 시험자료(1,792)
  • 자기소개서(1,159)
  • 방송통신대(805)
  • 논문(678)
  • 기업보고서(539)
  • 서식(256)
  • 표지/속지(176)
  • ppt테마(116)
  • 노하우(14)
  • 이력서(9)

바로가기

시계 독후감 - 시계 관련 독후감 39건 제공

"시계" 검색결과 721-740 / 55,572건

  • 시계반응
    -시계 반응에는 세 가지의 반응단계가 필요하다.첫째 단계 : 비교적 느린 속도로 중간물질이 생성된다. ... 1.실험날짜2006년 12월 5일 화요일2.실험목적시계 반응을 이용해서 반응 속도의 차이가 큰 반응들이 단계적으로 연속하여 일어나는 화학반응의 초기 반응 속도와 반응물들의 초기 농도 ... 사이의 관계를 알아본다.3.시약 및 기구삼각 플라스크 50ml, 100ml, 피펫, 초시계, 온도계, 0.2M KI, 0.1M (NH4)2S2O80.2M Na2S2O3, 0.2M
    리포트 | 2페이지 | 1,000원 | 등록일 2007.01.11
  • 시계 만들기
    스위치를 이용한 START, STOP 기능 - 1/100 sec 속도의 카운팅 (시계역할) O2. ... 회로에서 초와 분의 '0'에서 '5'를 표시 - 3bit로 구성 10진 카운터 - 시계 회로에서 분, 초, 1/100초의 '0'에서 '9'를 표시 - 4bit로 구성10진 카운터- ... */B*/C+/A*C+A*/B; d=/A*/C+/A*B*C+A*/B*C; e=/A*/C; f=/A*/B*/C+A*/B; g=/A*B+A*/B;카운터(counter)6진 카운터 - 시계
    리포트 | 25페이지 | 1,500원 | 등록일 2006.11.24
  • 화학공정실험예비4 시계반응
    시계반응분반302분반조3조학번200812226이름최하나1. 제목 : 시계반응2. ... 이 실험에서는 소위 시계 반응을 이용하여 반응 속도에 미치는 농도의 영향을 조사하고, 반응 속도 상수 및 반응 차수를 구하는 방법을 실험한다.3. ... 이론① 시계반응이란속도 결정 단계가 포함된 메커니즘으로 일어나는 화학반응 중에서 반응이 시작되고 일정한 시간이 지난 후에 갑자기 용액의 색깔이 변화하는 반응이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.10
  • 화학공정실험결과4 시계반응
    시계반응분반302분반조3조학번200812226이름최하나1. 제목 :시계반응2. ... 시계 반응에서는 느린 속도로 일어나는 첫 번째 반응에서 생성된 화합물이 빠른 속도로 진행되는 두 번째 반응에 의하여 곧 없어져 버리게 된다. ... 고찰속도 결정 단계가 포함된 메커니즘으로 일어나는 화학 반응 중에서 반응이 시작되고 일정한 시간이 지난 후에 갑자기 용액의 색깔이 변화하는 반응을 “시계반응”이라고 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.01.10
  • vhdl_응용논리_디지털시계
    설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. ... : EP2C35F672C6N• Display : 7-Segment, LED, 스위치• Quaruts II Web Edition (2) 설계 Specification• 일반 시계
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • 모래시계
    모래시계
    리포트 | 1,000원 | 등록일 2007.01.01
  • 시계열 분석을 통한 고속도로 통행수요함수의 추정 (An Estimation for Highway Trip Demand Functions Based upon Time Series Analysis)
    대한교통학회 이재민, 박수신
    논문 | 9페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • 시계태엽오렌지 영상분석
    시계태엽 오렌지 영상분석강남대학교산업디자인 학부200601548 최진욱시계태엽 오렌지 (1971)clockwork orange1. ... 시계태엽오렌지 분석하기1) 스토리친구들과 어울려 강간과 살인 등의 비행을 일삼던 알렉스(맬컴 맥도웰)는 친구들의 배신으로 감화원에 들어가게 된다. ... 하븐, 브라이언 블러미음악 : 월터 칼로스미술 : 존 배리의상 : 밀레나 카노네로편집 : 빌 버틀러출연 : 말콤 맥도웰 (알렉스 드 라지), 패트릭 마지 (미스터 알렉산더)2) 시계태엽
    리포트 | 9페이지 | 2,000원 | 등록일 2011.11.10
  • 시계디자인을 위한 자료조사 PPT (기기디자인)
    시계의 경우 각각의 시계바늘이 1 회전하는 데 걸리는 시간은 초침톱니바퀴는 60 초 , 분침톱니바퀴는 60 분 , 시침이 연결되어 있는 톱니바퀴는 12 시간이다 .03 동력 탈진바퀴가 ... 일정한 시간간격으로 회전하여 시간의 흐름을 만들어내는 과정 시계의 동력은 일반적으로 메인 배럴 안에 들어있는 스프링이 풀리는 힘에서 얻어진다 . 5 백 원 짜리 동전 크기 안의 시계에 ... 이런 장치는 비단 시계뿐만 아니라 자동차 , 로보트 등 기계들도 마찬가지다 .
    리포트 | 7페이지 | 1,000원 | 등록일 2014.11.23 | 수정일 2018.05.16
  • 탁상시계
    시계
    리포트 | 1,000원 | 등록일 2007.01.01
  • 시계반응 예비보고서
    -이 자료는 수기로 작성된 pdf 자료로 수정 사용이 불가한 자료임을 참고해 주시기 바랍니다.-
    리포트 | 4페이지 | 1,000원 | 등록일 2011.11.02
  • 시계반응-결과보고서
    실험제목 : 시계반응3. 실험결과이번 실험은 시계반응을 이용해 반응 속도의 차이가 큰 반응 단계가 연속적으로 일어나는 화학반응의 특성을 알아보는 실험이었다. ... 반응 메카니즘, 속도 결정 단계, 시계반응 산화-환원 반응에 대해 먼저 조사하고 실험에 임했다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.24 | 수정일 2013.12.10
  • 화학반응속도 - 시계 반응 -
    화공기초실험 보고서실험 7 : 화학반응속도 - 시계 반응 -조 별 :실험 일자 :제출 일자 :담당 교수 :조 장 :조 원 :조 원 :실험 7. 화학반응속도 - 시계 반응 -1. ... 이 실험에서는 농도가 반응속도에 주는 영향을 알아보는 것으로 농도의 변화에 소요되는 시간이 달라지는 것을 시계를 이용하여 측정함으로써 반응속도상수와 반응차수를 알아낸다.2. ... 이 론시계 반응 : 속도 결정 단계가 포함된 메커니즘으로 일어나는 화학반응 중에서 반응이 시작 되고 일정한 시간이 지난 후에 갑자기 용액색깔이 변화하는 반응속도결정단계 : 여러 단계로
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.14
  • 앤서니 버지스 - 시계태엽 오렌지 비평문
    희극에서 비극으로, 그리고 다시 희극으로-앤서니 버지스 『시계태엽 오렌지』시계태엽 오렌지-시계태엽 오렌지1962년 출간된 앤서니 버지스의 대표작이다. 1971년에 스탠릭 큐브리 감독의 ... 작품까지도 유명해졌다.책은 3부로 나누어져 있다. 1부는 알렉스가 행하는 폭력 묘사, 2부는 교도소에서의 루도비코 요법 치료, 3부는 다시 사회로 나온 알렉스의 방황을 그리고 있다.시계태엽
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.27
  • 요오드 녹말 시계반응 실험보고서
    요오드 녹말 시계반응1. 실험 일시 : 2010년 11월 12일2. 성명: 200900530 신정민3. ... 실험 준비물- 기구: 비커(100ml) 2개, 비커(250ml) 1개, 비커(1L) 1개, 가열교반기, 메스실린더, 초시계- 시약: 수용성 녹말, 진한 아세트산(CH _{3} COOH ... CHOONa 4.1g, KI 50.0g,Na _{2} S _{2} O _{3} 4.7g을 가해서 1L의 증류수로 묽힌 용액B 용액: 3% 과산화수소⑵ 이 실험은 잘 알려진 요오드 녹말 시계반응이다
    리포트 | 2페이지 | 1,000원 | 등록일 2013.11.27
  • VDHL을 이용한 디지털시계 설계 소스코드 (추가 기능 없음)
    Library ieee;Use ieee.std_logic_1164.all;Entity clock isport(clk : in std_logic; -- 20MHz seg_com : buffer std_logic_vector(3 downto 0); seg_data : bu..
    리포트 | 3페이지 | 1,000원 | 등록일 2016.02.07 | 수정일 2016.02.12
  • 물리화학실험_초기반응속도에 의한 persulfate-iodide 시계반응
    초기반응속도에 의한 persulfate-iodide 시계반응1. 실험목적시계반응을 이용하여 반응 속도에 미치는 농도의 영향을 알아보고, 반응속도상수 및 반응 차수를 구해본다.2. ... 이론 및 원리1) 시계반응: 일정 시간이 경과한 후 변색, 침전의 생성 등 뚜렷한 변화를 일으키는 반응으로 유도기(誘導期)를 가진 화학 반응을 말한다.2) 시계주기: 혼합물의 반응이 ... 실험에서는 3- 이온이 들어있는 용액에 IO3- 의 용액과 녹말용액을 섞으면 잠시동안 무색으로 있다가 갑자기 짙은 푸른색으로 변하는데, 이 때 무색으로 있는 동안의 시간을 말한다.: 시계주기는
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.28 | 수정일 2018.06.08
  • 모래시계
    그곳에는 주기 1년짜리 모래시계가 있다고 한다. 초등학교 6학년 수학여행 때 들렸는데 그때는 모래시계공원에 들리지 않아 그 시계를 보지 못했다. ... 그러므로 우리가 사용했던 모래와 같은 모래를 사용하는 모래시계의 경우 모래시계의 목의 지름이 R 일 때 7915536000R²만큼의 모래로 모래시계를 만들면 주기가 1년이 된다. ... 만약 모래시계 안에 모래 대신 물이나 다른 액체를 집어넣으면 시계로서 제 기능을 할 수 있을까? 이 경우 물의 흐름은 모래처럼 일정하지 않다.
    리포트 | 7페이지 | 1,500원 | 등록일 2006.09.22
  • [텀프로젝트] 디지털시계, 디지털 스탑워치, 디지털 타이머 (회로, 시뮬레이션, 소자, 구동원리, PPT)
    발진회로• 이 회로는 100Hz 대신 110Hz의 주파수를 클럭에 사용하는 회로입니다. 회로 상에서는 주파수에 손실이 일어날 수 있어 계산하여 10Hz를 추가하였다. 실제 기판에서는 56K에 해당하는 저항을 100K 가변저항으로 대체함으로써 이를 보완하였다. • 핀 5..
    리포트 | 31페이지 | 4,900원 | 등록일 2019.04.05 | 수정일 2024.05.14
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감