• 통큰쿠폰이벤트-통합
  • 통합검색(263)
  • 리포트(255)
  • 논문(4)
  • 자기소개서(2)
  • 시험자료(2)

"스텝(STEP)모터" 검색결과 61-80 / 263건

  • 다관절 6축 로봇 실습 보고서
    스텝모터(Step motor) : 펄스 입력으로 인한 동작, 정확한 회전 및 각도 제어가 가능하지만 토크가 작아서 빠른 속도에서는 탈조현상이 일어난다.탈조현상 : 정해진 규격보다 높아 ... 대부분의 로봇에 일반적으로 사용되고 있으며, 방식에 따라 서, AC Servo motor, Step motor, DC motor 등이 있다.? ... 스텝모터 그림 7. 엔코더3) 로봇 기구학적 기준 좌표계- 로봇은 다른 좌표축에 대해서 상대적 운동이 가능하다. 각각의 좌표축에 따라서 운동 의 해석이 달라질 수 있다.
    리포트 | 11페이지 | 3,000원 | 등록일 2019.02.23
  • 신호등 설계
    결 과6조♥설 계 내 용설계 주제에 맞도록 7-segment, LED, Text-LCD, Piezo, TFT-LCD, 스텝모터 등 구현방법 익히고 보행자를 위한 신호등 제작6조♥소스 ... Clk과 rst주기를 이용하여 세그먼트, LED, 피에조 동작6조♥소스 분석(Dot_matrix)Clk, rst, enable을 이용하여 Dot_matrix를 구현6조♥소스 분석(step ... 구현하였다.Dot-matrix와 Step-motor는 처음 설계 시작시 알지 못하여 구현 할 계획이 없었다6조♥결과 (사진)결과 (사진)6조♥결과 (사진)6조♥6조♥Thank you
    리포트 | 15페이지 | 2,000원 | 등록일 2015.01.22
  • A+레포트 임베디드시스템+11주차+결과+리포트 로봇학부
    모터의 전기각과 기계각과의 차이점을 알게 되었다 . 스텝모터 , DC 모터를 돌려봤었는데 BLDC 는 직접 돌려 본적이 없었다 . ... 모터의 극수가 10 개 이므로 NS 1 쌍씩 5 개를 갖게 되고 6 STEP 으로 동작하므로 6*5=30 이 된다 . ... 또한 s3 버튼을 누르면 모터의 회전방향을 바꿀 수 있었다 .17 1) Sensored BLDC Motor Running Open Loop 실습결과 Build All, Programming
    리포트 | 27페이지 | 4,000원 | 등록일 2019.08.18
  • PLC 실습 도어락 및 엘리베이터 텀 프로젝트
    회전 수를 실험을 통해서 알아내고 아파트와 문짝 등을 만들고 PPT를 작성함.6/11 전원 참석 예정-발표 준비 및 발표12/199.고찰 및 의견선형dc모터, 공압, step모터, ... (만드는 과정 사진)10/198.프로젝트 진행기록 (1)5/19 전원 참석-도어락에 엘리베이터를 더하고 1층 현관 센서까지 추가해서 시나리오를 만듦5/20 전원 참석-엘리베이터는 step모터를 ... 도르래로 쓰기로 하였고 도어락 잠금 해제 부분은 실린더를 쓰고 층 수 버튼을 추가하기로 하고 예비 프로젝트를 제작함.6/3 전원 참석-완성한 프로그램을 피스톤과 Step모터 DC모터
    리포트 | 14페이지 | 20,000원 | 등록일 2016.05.20 | 수정일 2021.05.30
  • 척수손상정도 평가법, ISNCSCI
    N ew ISNCSCI worksheet S ensory exam M otor exam S teps in ClassificationIntroIntro The International ... Motor (C5-T1,L2-S1) 4 . Sensory (C2-S5) 5. ... muscle strength fscles, Motor Exam GuideMuscle Function Grading 완전한 마비 0 촉지 가능하거나 , 눈으로 보이는 수축 1 중력이
    리포트 | 76페이지 | 4,000원 | 등록일 2018.10.29 | 수정일 2018.10.31
  • 인하대 기계공학실험 A DC모터제어 A+받은 레포트
    (unit step function) 시정수의 개념을 잡도록 한다.Y(s)=U(s) CIRC G(s)= {1} over {s} CIRC {1} over {1+Ts} 24. ... 경우 힘을 계속해서 주고있는 step input의 형태를 따르기로 한다.u(s)를LAPLACE 변환을 하면{alpha } over {s}가 되며 이번의 경우alpha 를 1로 설정하여 ... (Step input)Y(s)=U(s)G(s)= {1} over {s} CIRC {w _{n}^{2}} over {s ^{2} +2 zeta w _{n} s+w _{n}^{2}} =
    리포트 | 11페이지 | 2,000원 | 등록일 2018.06.25
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    관련 기술 및 이론(1) 스텝모터(Step Motor)스텝 모터는 DC전압 또는 전류를 모터의 각 상단자에 스위칭 방식으로 입력 시켜 줌으로써 일정 각도씩 회전하는 모터이다. ... 선언부 소스 코드선언된 Signal을 살펴보면 L_state와 R_state는 예비이론에서 다룬 스텝 모터의 여자 방식의 각 단계를 나타낸다. ... 스텝모터는 다른 모터와는 달리 모터의 위치를 검출하기 위한 피트백 시스템 없이 정밀 제어가 가능하고 입력 펄스와 주파수에 비례하는 회전 속도를 얻을 수 있으므로 속도 제어 범위가 넓다
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 메카트로닉스 및 실험 servo id 2
    =tf(Ka*Km,[Tm 1]);t=0:0.01:12;%% Step Response Simulationy=step(sys,t);figure(1)plot(t,y,'LineWidth', ... 이론4.1 서어보 시스템 수학적 모델링(1): Motor gain constant: Motor time constant만일 부하에 외부의 토크 외란 이 작용할 경우 식(1)은 다음과 ... 3), gridxlabel('Time [s]'), ylabel('Rate [rad/s]'), title('Step Response of a First Order System')%%
    리포트 | 13페이지 | 7,000원 | 등록일 2016.11.17 | 수정일 2017.06.05
  • 스테핑모터 발표자료
    스텝각은 15˚가 일반적이다구조 및 종류01표면에 N극과 S극으로 자화된 영구자석을 회전자로 사용하고 있다. ... MOTOR STEP MOTOR STEP MOTOR STEP MOTORContents구조 및 종류01동작원리02모터 선정 방법03활용 사례 및 동영상04구조 및 종류01구조 및 종류01볼 ... STEP MOTORSTEP MOTOR STEP MOTOR STEP MOTOR STEP MOTOR STEP MOTOR STEP MOTOR STEP MOTOR STEP MOTOR STEP
    리포트 | 28페이지 | 1,000원 | 등록일 2014.07.07
  • [마이크로프로세서실험] 스텝모터 step motor 예비보고서
    한 개의 입력 펄스(input electrical pulse)에 따라 주어진 각도(step size)로 회전하는 모터이다. step size는 0.4°, 0.7°, 1.8°, 2°, ... 1.2 Step Motor의 특성1.3 Step Motor의 종류별 구조 및 구동 방식1.4 PWM 레지스터 ( Data Sheet 참조 )? ... 예비조사 및 실험 내용의 이해1.1 Step Motor란?
    리포트 | 14페이지 | 2,000원 | 등록일 2012.05.30
  • 자동제어실험 (예비레포트) - Timer Interrupt를 이용한 Step motor 제어
    실험제목 : Timer Interrupt를 이용한 Step motor 제어3. 실험목적1. 타이머 인터럽트의 개념을 이해해보자.2. ... {step++; // 스텝이 올라갈때마다 (인터럽트가 발생할때마다) 카운팅outp(phase[i%4], PORTB); //모터로 상을 출력해서 한스텝돌린다.if(step==1000) ... {step++; // 스텝이 올라갈때마다 (인터럽트가 발생할때마다) 카운팅if(step==200) // 200스텝이 지나가면 1초가 되므로 1초마다 LED를 켜준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.23
  • 자동제어실험 예보 모터
    예비보고서1) 스텝모터의 원리와 특성, 구동방법 및 종류를 알아본다.스텝모터의 원리와 특성직류 브러쉬 모터는 전극의 전압이 적용됨에 따라 연속적으로 회전하는 반면에, 스텝모터는 효과적으로 ... 스텝모터의 원리이해 및 제어? RC서보모터의 원리이해 및 제어2. ... 이를 통해서 모터는 정밀한 각각으로 회전될 수 있다.스텝모터는 고정자의 권선 구조에 따라 구동방법에 따라 구동방법이 다르며 상 여자방법에 따라서 구분이 된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.05.04
  • 로보틱스 실험보고서
    [네이버 지식백과] 스테핑모터 [stepping motor] (두산백과)스텝(step) 상태의 펄스(pulse)에 순서를 부여함으로써 주어진 펄스 수에 비례한 각도 만큼 회전하는 모터 ... 펄스 모터라고도 한다출처[네이버 지식백과] 스테핑 모터 [stepping motor, ステッピングモ? ... Stepping모터입력 펄스 수에 대응하여 일정 각도씩 움직이는 모터로, 펄스모터 혹은 스텝모터라고도 한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2013.10.30
  • 자동제어실험 (예비레포트) - External Interrupt 이용한 Step motor 제어
    실험제목 : External Interrupt 이용한 Step motor 제어3. 실험목적1. 외부 인터럽트의 개념을 이해해보자.2. ... (); // 인터럽트시작cw200step();// 모터를 무한히 돌리는 함수 호출 .return 0;}void delay(u16 time)// 딜레이 함수{u16 k;for (k=0 ... 스텝모터를 회전시키는 프로그램을 작성한다.4. 외부인터럽트와 스텝모터를 회전시키는 프로그램을 조합한다.5.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.23
  • 에스컬레이터 구조 및 작동원리
    프로스켓에 전달하는 장치를 말한다용어 설명 ▶ 디딤판 로울러 (Step Roller) 스텝의 전륜과 후륜에 설치된 2 개의 롤러에 의해 스텝이 움직인다 . ▶ 디딤판 체인 안전장치 ... 인접한 부분을 일컬으며 , 스테인레스 판으로 됨 . ▶ 디딤판 (Step) 에스컬레이터에 있어서 이동하는 계단의 유니트를 스텝이라 하는데 , 스텝은 프레임에 발판 (tread board ... Driving Chain) 에스컬레이터에 있어서 구동기의 회전력을 구동 프로스켓에 전달하는 장치를 말한다 ▶ 디딤판 로울러 (Step Roller) 스텝의 전륜과 후륜에 설치된 2
    리포트 | 20페이지 | 1,500원 | 등록일 2014.05.08 | 수정일 2016.07.04
  • 자동제어실험 (결과레포트) - External Interrupt 이용한 Step motor 제어
    실험제목 : External Interrupt 이용한 Step motor 제어3. 실험목적1. 외부 인터럽트의 개념을 이해해보자.2. ... 스텝모터를 회전시키는 프로그램을 작성한다.4. 외부인터럽트와 스텝모터를 회전시키는 프로그램을 조합한다.5. ... 0일 경우 시계방향으로 회전ready++; // 1step마다 ready의 값을 1씩올려서 45°이하 일 때 즉 ready
    리포트 | 6페이지 | 1,500원 | 등록일 2012.11.25
  • 기계공학응용실험 모터 제어 예비레포트
    때문에 인덕션 모터를 유도모터라고 부른다.스태핑 모터(Stepping Motor)스텝모터, 펄스모터, 스테퍼모터 등으로 불려지고 있으며 스태핑은 한발 한발 단계적으로 움직이는 동작이미지를 ... 어떤 모터에도 부착이 가능하고 바꿔 말하면 어떤 모터라도 기어드 모터가 될 수 있다는 말이며, 왕복운동형, 웜 기어형, 유성기어형 등이 있다.서보 모터(Servo Motor)servo의 ... 어원이 나타내는 바와 같이 영어의 slave 라든가 servant의 의미를 가지며 명령에 충실하게 추종하는 제어용 모터를 의미한다.DC 서보 모터는 전기자 전류에 대하여 발생토크의
    리포트 | 4페이지 | 1,000원 | 등록일 2016.03.17 | 수정일 2016.04.30
  • 모터제어
    Stepping모터Stepping모터의 정의Stepping Motor는 움직임이나 위치를 파악하는 별도의 Sensor가 필요 없이 높은 정확성의 운전이 가능하며, 디지털 방식으로 ... 1상 여자, 2상 여자, 1-2상 여자라는 여자 시퀀스(sequence, 순서)가 있고, 이 방법의 선택에 따라 입력 펄스와 스텝각(한 펄스에 대한 회전각)의 특성이 달라진다. ... Stepping Motor는 전기적인 진동으로 인해 정해진 Slot 각만큼 작동하게 됩니다.
    리포트 | 14페이지 | 1,000원 | 등록일 2015.12.10
  • 모터제어 리폿
    - 스텝 모터(stepping motor, pulse motor, stepper motor)는 다른 AC servo, DC servo motor에 비하여 정확한 각도제어에 유리하여 ... Stepping Motor1) 스텝모터란? ... 입력 pulse수에 비례하고, 모터의 속도가 1초간의 입력 pulse수에 비례한다.- 1 step당 각도 오차가 +5% 이내이며 회전각의 오차가 step마다 누적되지 않는다.- 정지
    리포트 | 20페이지 | 1,000원 | 등록일 2011.12.16
  • 스텝모터의 구조 및 종류 원리
    기본 스텝각을 1/1 ~ 1/250까지 세분화할 수 있습니다. ... 중간의 영구자석에 의하여 ROTOR1은 N극, ROTOR2는 S극으로 자화되어 있습니다.로터 1로터 2STEPPING MOTOR의 구조(전체)규소강판을 적층하는 형태로 제작되었으며 ... Stepping Motor 세미나Stepping Motor의 특징STEPPING MOTOR는 1920년 영국에서 개발된 고정밀도의 위치결정이 가능한 MOTOR로서 컴퓨터, 공장자동화
    리포트 | 36페이지 | 3,000원 | 등록일 2007.04.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:29 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대