• 통큰쿠폰이벤트-통합
  • 통합검색(263)
  • 리포트(255)
  • 논문(4)
  • 자기소개서(2)
  • 시험자료(2)

"스텝(STEP)모터" 검색결과 141-160 / 263건

  • 무인 주차 시스템
    탑재되어 있는 센서 Control 스텝모터 Controler 데이터 송신 Zigbee 모듈과 데이터 송수신Step Motor ControlerStep Motor디지털 펄스를 입력받아 ... 계산하여 자동차 사용자가 원하는 목적지까지 운행개 요목 표예약시스템Ubiquitous핸드폰 알림 서비스무인자동차휴대용 단말기Field Programmable Gate Array XC3S200차량에 ... 스텝에 맞춰 회전 운동Infrared Ray Sensor차도를 감지하여 차량운행 및 방향조절Zigbee Module PAN802154차량과 Main Server와의 Wireless
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.25
  • 역진자제어,Control of Inverted Pendulum
    ---------s^4 + 0.175 s^3 - 13.37 s^2 - 1.722 s5) 출력을 Graph로 확인>> t=[0:0.1:5];y=step(num,den,t);plot(t ... ,y,'-'); grid< Step response of angle & position >위로 향하는 그래프가 angle의 응답이고 밑으로 향하는 그래프가 position이다.6) ... 외부 힘과 토크의 관계식을 대입하여 전개하면 다음과 같이 모터 상수와 모터인가 전압을 입력으로 가지는 새로운 식이 완성된다.
    리포트 | 5페이지 | 3,000원 | 등록일 2013.11.01
  • 라인트레이서
    Step Motor Control CircuitWe used two pairs of L297 - SLA7024M to control a pair of step motors. ... And we used a clock from the LM555 to both step motor to match the speed.8. ... Truth Table of SLA7024MAnd below table is the step motor excitations according to connection.ExcitationWhite
    리포트 | 18페이지 | 2,000원 | 등록일 2010.10.09
  • PWM을 이용한 스텝모터제어
    이때, 그림의수치는 전체 PWM 주기와 펄스폭의 비율을 퍼센트로 표시한 것이다.그림3 PWM의 변조방식(2)스테핑 모터(Stepping motor)스테핑 모터Step에 의해 구동되는 ... 보통 한 스텝 당 1.8°씩 회전한다. 스테핑 모터는 AC servo, DC servo 모터에 비해 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할 수 있다. ... 장 점①모터의 총 회전각은 총 입력 Pulse수에 비례하고, 모터의 속도는 초당 입력 Pulse수에비례한다.②Step당 각도 오차가 5%이내이며 회전각 오차는 Step마다 누적되지
    리포트 | 7페이지 | 1,000원 | 등록일 2010.07.12
  • Motor의 대해서
    이 순서를 반복하며 , 1 스텝 각도가 90 도이므로 4 스텝 진행되면 1 회전한다 .Servo Motor . 정 의 서보 (servo) 모터 ? ... 구조 Step Motor . 종 류 Step Motor . 특징 Step Motor. 동작원리 Servo Motor. 정의 Servo Motor . 종류 Servo Motor . ... 동작원리 DC Motor . 정의 DC Motor . 구조 DC Motor . 동작원리 DC Motor . 특성 Step Motor . 정의 Step Motor .
    리포트 | 41페이지 | 3,000원 | 등록일 2011.01.13
  • 스텝모터종류 조사 및 가/감속 운전 프로그램
    Stepping motor의 개요최근에 stepping motor(pulse motor, stepper motor)가 가지는 독특한 특성과 정확한 위치제어 때문에 OA, FA 등에 ... 작업), step motor모터를 회전시키되, 회전방향을 변화시킬 수 있는 프로그램을 작성한다(S/W 작업). ... 많이 사용되고있다. stepping motor는 AC servo, DC servo motor에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에달라진다.
    리포트 | 13페이지 | 1,000원 | 등록일 2008.06.10
  • 마이크로프로세서(스테핑모터)
    Stepping Motor의 특징① 장 점1) motor의 총 회전각은 입력 pulse수의 총 수에 비례하고, motor의 속도는 1초간당입력 pulse 수에 비례한다.2) 1 step ... (b) PM 형(a) VR 형(c) 하이브리드PM형5. stepping motor의 종류와 구조Stepping motor는 그 구조상 세가지로 분류할 수 있다.(1) VR형(variable ... 영구 자석의 종류에 따라 step 각이 분류되어 있으며, step각도가 큰 90˚, 45˚의 motor에는 일반적으로 알니코계의 자석을, 18˚,15˚, 7.5˚등의 motor에는
    리포트 | 10페이지 | 1,000원 | 등록일 2007.04.24
  • 스테핑 모터
    또 여자 중, 즉 코일에 전류가 계속해서 흐르고 있는 동안은 모터축은 일정 위치로 유지된다.5. stepping motor의 특성Stepping motor를 사용하는데 있어서는 다음의 ... 있으며, 기본적으로 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다. ... 여기서는 일반적으로 가장 많이 사용되고 있는 4상 stepping motor를 예를 들어 동작원리에 대해 설명한다.
    리포트 | 16페이지 | 2,500원 | 등록일 2007.07.18
  • 연세대 전기전자 응용실험 예비보고서 8.DC and STEP motor
    controlling step motor`s direction and velocity using HSO. ... In this chapter, we have to learn functions to control DC motor and step motor. ... Step motor is useful for digital control.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.02.20
  • 기업분석 - 모아텍
    품목- 사진출처: ㈜모아텍 홈페이지(http://www.moatech.com/prod_stepping.aspx)소형 정밀 모터 중 ‘스테핑(Stepping)모터’ 전문제조 업체인 모아텍은 ... LIMITED"에서"MOATECH HONG KONG LIMITED"로 변경⑷ 2005~20042005.06 2005년 “세계일류상품” 선정 (산업자원부장관)2005.10 삼성전기 “S-PARTNER ... 스테핑모터란 소형정밀모터의 한 종류이다.
    리포트 | 19페이지 | 3,000원 | 등록일 2013.03.19
  • step motor
    제목 : Step Motor2. 목적-ATmega128의 Timer/Counter 및 PWM 출력에 대해 이해한다.-STEP MOTOR의 구동방식을 이해한다.3. ... ●Step Motor 와 ATmega128스테핑 모터는 디지탈 회로로 제어하기 쉬운 모터이다. ... 결론스텝모터는 입력 펄스수에 대응하여 일정한 각도만큼 움직이는 모터로 펄스모터라고도 불린다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.15
  • [라인트레이서] 라인트레이서 최종보고서 (학술제 출품작)
    Stepping motor의 개요stepping motor는 AC servo, DC servo motor에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할수가 ... 테이블 인덱스 */u08 step_status=0; //양쪽 모터 작동확인(가감속시 사용)*/u16 step_val; //구간 별 거리(스텝수) 저장용 *///스텝모터 1-2상const ... digital적으로 제어하는 것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게다른 점은 모터
    리포트 | 29페이지 | 2,000원 | 등록일 2007.09.30
  • 스테핑모터 구동하는 방법
    스테핑모터 구동스테핑모 터 구동 회로도 1 번 회색 2 번 노란색 3 번 초록색 4 번 빨간색 5 번 흰색 6 번 파란색#include at89c51xd2.h #define STEP_MOTOR ... i ; char a=0; while(1) { for( i =0;i 400;i++) { if(a 3) a = 0; STEP_MOTOR = ~step1[a]; delay(300); a ... ++; } //for a=3; for( i =0;i 400;i++) { if(a 0) a = 3; STEP_MOTOR = ~step1[a]; delay(300); a--; } } }
    리포트 | 3페이지 | 1,000원 | 등록일 2008.12.14
  • 빌딩정보시스템
    반복적 시스템 : 예비 모델 개발 , 적용 , 개선 , 재적용의 과정을 반복Steps in Prototyping YES NO step4 step3 step2 step1 Develop ... Ford Motor Company’s Invoiceless Processing.Paradigm Shift : 새로운 정보시스템의 영향으로 조직의 사업 수행 방식을 바꾸거나 사업의 성격 ... a working prototype Identify basic requirement s Use the prototype User satisfied Revise and enhance
    리포트 | 59페이지 | 5,000원 | 등록일 2012.03.19
  • 모터제어
    - 스텝 모터(stepping motor, pulse motor, stepper motor)는 다른 AC servo, DC servo motor에 비하여 정확한 각도제어에 유리하여방식과 ... 스텝모터의 용어들1) 스텝 각도(step angle)- 입력 신호 1펄스에 대응하는 모터 축의 회전 각도.2) 코깅(cogging)- 모터를 손으로 회전시켜 보았을 때 느낄 수 있는 ... 각도 오차가 +5% 이내이며 회전각의 오차가 step마다 누적되지 않는다.- 정지 시에 높은 유지 토크로 위치를 유지할 수 있다.+ 기동 및 정지 응답성이 양호하므로 servo motor로서
    리포트 | 10페이지 | 1,000원 | 등록일 2008.04.18
  • [공학]스테핑 모터 구동회로
    기계적인 이동량을 정밀하게 제어하는 일에 DC servo motor와 더불어 stepping motor가 널리 사용되고 있다.▶ 스테핑모터는 외부의 DC전압 또는 전류를 모터의 각 ... 스테핑 모터에서는 stator의 마주하는 2개의 극이 1개의 상을 이룬다.○ 스테핑 모터의 구동원리▶ Stepping motor에서 그 내부를 구성하는 stator의 극의 수에 따라 ... Stepping motor는 AC servo, DC servo motor에 비하여 값이 싸고 정확한 각도 제어에 유리하여 각종 OA, FA 장비에 널리 사용되고 있으며, 일반적으로
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.15
  • [제어시스템설계] 근궤적법을 이용한 서보모터 설계
    Steady State에 도달하는 경우가 빠른 이유도 모터 축의 마찰의 영향 때문일 것이다.2.2 비례-미분 제어시스템 ( PD-제어 )P150 D5P150 D10P150 D15Step ... 이용하여 구해보았던 각각의 제어게인 값(,,)의 변화에 따른 폐루프 제어시스템의 성능 및 안정도를 실제 서보모터를 통해 실험적으로 검토한다.2 시스템에 대한 근궤적과 제어게인에 따른 스텝응답 ... Step Response 곡선을 나타내 보았다.
    리포트 | 3페이지 | 1,000원 | 등록일 2011.01.22
  • PLC제어(1)
    -구성 요소■스텝(Step) - 스텝(step)은 해당 입력들과 출력들에 관한 프로그램 구성 유닛의행동이 스텝의 관련 작용에 의해 정의된 규칙들의 집합을 따르는 상황을 나타낸다. ... 위하여 프로그램 가능한 메모리를 사용하고 여러 종류의 기계나 프로세서를 제어하는 디지털 동작의 전자 장치”로 정의하고 있다.이러한 PLC의 태동은 1960년대말 GM(General Motor ... 스텝은 활성화할 수도 있고, 비 활성화할 수도 있다.
    리포트 | 12페이지 | 1,000원 | 등록일 2011.03.05
  • DC MOTOR 위치 & 속도 제어
    때 출력 그래프numdcl=conv(numc,dencf);dendcl=conv(denc,numcf);step(numdcl,dendcl,t);title('Response to Step ... 보다 작아야 한다.- 정상상태 오차는 없어야 한다.- 외란에 의한 정상상태 오차는 없denc]=cloop(numf,denf);t=0:0.001:0.4;step(numc,denc,t ... 오버슈트는 16% 이내로 제한한다.즉, 기준입력(r)을 스텝입력으로 주면, 모터의 위치제어는 다음의 조건이 되어야 한다.- 정정시간이 40mS초 보다 작아야한다.- 오버슈트는 16%
    리포트 | 23페이지 | 3,500원 | 등록일 2007.07.18 | 수정일 2015.12.21
  • ATmega128 을 이용한 엘리베이터 제작
    사용되고 있다.Permanent Magnet Step Motor 의 구조2상 PM형 모터스텝순서 3) 스텝모터의 여자방식 스텝모터의 여자방식에는 1상 여자방식, 2상 여자방식, ... 본론(하드웨어 구성 및 제작)2.1 ATmega128의 특징2.2 ATmega128의 기본 구조와 기능2.3 ATmega128 내부 구조2.4 스텝 모터(STEP MOTOR) 특징2.5 ... 본론 (하드웨어 구성 및 제작)하드웨어 구성은 CPU의 역할을 하는 ATmega8051과 층수를 선택하는 스위치, 엘리베이터를 움직이는 STEP MOTOR, 엘리베이터 문을 열수 있는
    리포트 | 36페이지 | 30,000원 | 등록일 2010.03.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대