• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(983)
  • 리포트(778)
  • 자기소개서(194)
  • 시험자료(3)
  • 논문(2)
  • 서식(2)
  • 방송통신대(2)
  • 이력서(2)

바로가기

FPGA 독후감 - FPGA 관련 독후감 1건 제공

"FPGA" 검색결과 801-820 / 983건

  • Quartus
    AHDLAHDL(Altera Hardware Description Languag)은 복합 프로그램이 가능한 논리 소자(CPLD)와 현장 프로그램이 가능한 게이트 머레이(FPGA)를
    리포트 | 1페이지 | 1,000원 | 등록일 2010.06.18
  • 반도체소개: ASICs
    Low volumes  FPGA is more efficient High volumes  MGA and CBIC are more efficientASIC Fixed CostsASIC ... performanceEconomics of ASICsTotal product cost = fixed product cost + variable product cost  products sold FPGA
    리포트 | 20페이지 | 2,000원 | 등록일 2010.06.08
  • HDL에 대한 조사
    회로도를 이용하여 설계할 경우에는, FPGA/CPLD를 만드는 회사에서 공급하는 툴을 사용하여 설계할 경우, 반드시 그 제조회사에서 만든 FPGA/CPLD를 사용해야 하지만 HDL을 ... HDL설계의 장점과 단점4.1 HDL설계의 장점(1) 설계의 효율화① FPGA/CPLD를 만드는 회사와 관계가 없이 설계가 가능하다.여기서 FPGA란 field programmable ... gate array의 약자로 프로그래머블 논리 요소와 프로그래머블 내부선이 포함된 반도체 소자이며 CPLD는 복합프로그래머블 논리 소자로 FPGA의 대체품이다.
    리포트 | 3페이지 | 3,000원 | 등록일 2008.04.03 | 수정일 2017.03.21
  • [토끼] BCD to EX-3 code converter (combinational circuit)
    를 Excess-3 code로 변환하는 조합회로를 설계하는 실습으로, schematic diagram 을 그려서 또 하드웨어를 HDL로 기술하여 simulation 으로 검증하고 FPGA
    리포트 | 7페이지 | 5,000원 | 등록일 2011.04.10 | 수정일 2020.07.16
  • VHDL+Xilinx=시계 (회로도+소스)
    OrCad dsn file 회로도 - xilinx FPGA 40serise 사용 - 7-seg 6개 사용
    리포트 | 20페이지 | 무료 | 등록일 2001.07.12
  • 모델심으로 전가산기 구현
    ASIC의개요 ASIC이란? Application Specific Integrated Circuit 특정의 용도에 쓰이도록 설계된IC로 최종 사용자의 목적에 한정해서 사용하도록설계된 IC (cf. 범용chip : 기억소자, Micro Processor…) ASIC 탄생..
    리포트 | 60페이지 | 2,000원 | 등록일 2010.04.15
  • [한국내쇼날인스트루먼트-최신공채합격자기소개서]한국내쇼날인스트루먼트자기소개서,한국내쇼날인스트루먼트,한국내쇼널인스트루먼트자소서,내쇼날인스트루먼트
    또한 재구성 가능한 I/O인 FPGA(Field-Programmable Gate Array)를 섀시에 포함하여 사용자가 고속 제어 및 모니터링 기능을 LabVIEW를 이용해 직접 구현할
    자기소개서 | 6페이지 | 3,000원 | 등록일 2012.12.03 | 수정일 2013.12.10
  • 유비쿼터스 시스템
    이러한 SoC 개념은 FPGA 업체에도 영향을 주어, 알테라사는 프로그램 가능한 FPGA 블록과 ARM922T 프로세서 및 SRAM을 내장한 Excalibur 디바이스를 출시하였다.Excalibur ... 디바이스는 AMBA 인터페이스를 사용함으로써, AMBA 인터페이스로 설계된 다양한 IP들이 쉽게 FPGA에 블록에 구현될 수 있도록 하였다.
    리포트 | 50페이지 | 10,000원 | 등록일 2010.06.11 | 수정일 2022.03.21
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    기초 전자 공학 실험2실험날짜:조 :조원 :1.Title? Encoder, Decoder, MUX2.Name구 분학 번이 름3.Abstract1) Decoder에 대하여 이론적인 학문을 습득하고 그 예시에 대하여 살펴본다.2) Encoder에 대하여 이론적인 학문을 습..
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 플립플롭 (Flip-Flop)
    입력이 존재하지 않게 하기 위한 방법의 하나로 SR Flip-Flop의 두 입력을 결합하고 그 한쪽에 NOT 게이트를 삽입시킨 것이다.Quartus 와 pspice시뮬레이션 결과, FPGA보드 ... 회로로 실제 회로를 구성할 때에는 3번 실험에서 사용했던 회로를 변경하여 J와 K의 입력을 묶어 하나의 T입력으로 바꾸어 사용하였다.Quartus 와 pspice시뮬레이션 결과, FPGA보드 ... Flip-Flop을 제작하여 확인하라.PRE CLR CLK J K = 1 0 0 0 0 →= 0 1PRE CLR CLK J K = 1 0 0 1 0 →= 0 1PRE CLR CLK J K 은 FPGA
    리포트 | 29페이지 | 3,000원 | 등록일 2010.10.16
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    또한 구현하고자 하는 논리회로가 FPGA 내에 존재하는 리소스(CLB,IOB)에 구현될 수 있도록 매핑하는 작업이 이루어진다.Place & Route – 네트리스트 내의 케이트를트정 ... 거기서 FPGA Start-up clock을 자신의 환경에 맞게 설정해 준다.설정을 변경 하였으면 Configure Device(iMPACT)를 더블클릭해주면 보드에 직접 구현시킬 ... 사용할 수 있는 다른 리소스를 이용해 배선한다.CLB ( Cofigurable Logic Block ) – PSM (programmable Switch Matrices)과 함께 FPGA
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • 신도리코 자기소개서(R&D)
    학부시절의 전공프로젝트를 통해, 전자, 전기 H/W Analog회로 기초설계 , ‘FPGA를 이용한 8비트 마이크로프로세서 디지털 회로 설계’ 부터 시작하여, 졸업과제 ‘Atmega와
    자기소개서 | 1페이지 | 3,000원 | 등록일 2015.08.31 | 수정일 2016.04.13
  • 디지털 도어 락
    리포트 | 4,000원 | 등록일 2013.06.13 | 수정일 2015.12.08
  • ioremap()함수를 이용한 Key pad/Fnd Device Driver의 설계
    0x11D00000#define FPGA_KEY_IN 0x11E00000#define KEYOUT (*((volatile unsigned char *)vaddr_key_out))# ... include #include #include #include #define KEY_MAJOR 233#define KEY_NAME "key"#define SCAN_NUM 4#define FPGA_KEY_OUT
    리포트 | 10페이지 | 2,000원 | 등록일 2010.06.18
  • 서강대학교 디지털논리회로실험 3주차결과
    .● FPGA와 VHDL을 이용한 회로의 구현방식을 이해한다.3.
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • [디지털공학]디코더와인코더
    FPGA 보드를 사용하여 회로도를 작성하고 보드상에서의 동작을 확인하라.4. ... Abstract실험1)1.74LS153을 사용하여 4 to 1 MUX의 동작을 제작하여 검증하라.2.FPGA 보드를 사용하여 회로도를 작성하고 보드상에서의 동작을 확인하라.실험2)1 ... FPGA 보드를 사용하여 회로도를 작성하고 보드상에서의 동작을 확인하라.실험4)1. 3 by 8 Decoder를 gate를 이용하여 설계하고, 모의실험을 통해 검증하라.2.
    시험자료 | 28페이지 | 1,500원 | 등록일 2006.11.30
  • 동작분석 일반보행
    이 비디오카메라는 카메라의 이동이 필요 없이 내장되어있는 FPGA로 인터넷에 자동 업데이트 된다.2.1.4.
    리포트 | 20페이지 | 3,000원 | 등록일 2014.03.31
  • 임베디드 stepping motor
    IO-mode에서 화살표 키에 의해 제어되는 리눅스 제어 프로그램과 VHDL 코드를 완성하라. 즉, 화살표 키의 키는 방향을 바꾸고, 상하 키는 모터의 속도를 바꾼다. 방향을 바꾸는 경우 모터에 무리가 가지 않도록 부드러운 방향 전환이 가능하도록 제어 코드를 작성하였..
    리포트 | 3,000원 | 등록일 2005.07.10
  • DAC(design automation conference 2007) 참관기
    보드와 컴퓨터를 연동- FPGA에 맵핑된 설계와 HDL시뮬레이터를 연동ㅇ 적용분야- 하드웨어 가속기- 대용량의 정보를 처리하는 설계의 인터페이스그림-7. ... H.264 개발 환경ㅇ 제품특징- H.264 디코드를 내장한 ARM/AMBA 시스템 기반 FPGA 프로토타이핑 시스템- H.264 디코드를 기반으로 하는 제품 개발환경- W) ... 중요 설계자산을 iNTUITION을 이용하여 매우 효율적으로 개발하여 프로토타이핑하였다는 것은 매우 의미가 있다고 할 수 있을 것이다.PCI-Express 외장 케이블을 이용한 FPGA
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.03
  • 전전컴설계실험2-6주차결과
    이 점을 이용해 감산논리회로를 코드로 구현하고, FPGA모듈에 프로그래밍하여, 시뮬레이션과 하드웨어 장비동작으로 검증해본다.
    리포트 | 17페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:10 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대