• 통큰쿠폰이벤트-통합
  • 통합검색(967)
  • 리포트(762)
  • 자기소개서(194)
  • 시험자료(3)
  • 논문(2)
  • 서식(2)
  • 방송통신대(2)
  • 이력서(2)

바로가기

FPGA 독후감 - FPGA 관련 독후감 1건 제공

"FPGA" 검색결과 741-760 / 967건

  • 종합설계 최종 보고서
    FPGA(Field Programmable Gate Array)4) FPGA(Field Programmable Gate Array)(1) FPGA란? ... 또한 ASIC 수행 전에, 일단 FPGA로 회로를 구현하여 테스트를 하는 목적으로도 대부 분 FPGA를 사용하고 있으므로, ASIC Engineer에게 있어서도 FPGA에 대한 이해는 ... 중요한 문제라고 할 수 있다.(2) FPGA의 구조 및 종류▲ 현재 상업적으로 유용한 FPGA는 다음 4가지 주요한 범주로 구분General Architecture가.
    리포트 | 26페이지 | 3,500원 | 등록일 2009.07.20
  • C 기반 ALU 설계. 컴퓨터구조 ALU 설계 과제
    최소의 지연시간 Modelsim 으로 확인하려 했지만 , 에로사항 ( 소스 에러 ) 으로 실패참고문헌 ( Verilog_HDL 을 이용한 ) FPGA 설계 이론 및 실습 : Xilinx
    리포트 | 33페이지 | 3,500원 | 등록일 2013.01.28
  • [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 7-segment에 출력됨과 동시에 dotmatrix블럭에서는 "김정수"이라는 문구가 좌..
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • VHDL로 작성한 계산기의 소스 파일 입니다.
    한백 보드라면 아마 쿼터스 툴에 알테라 fpga를 사용하시겠군요. 음. ... 걔네는 이미 구현되어 fpga에 내장되어 있는 애들이기때문에 추가적으로 많은 로직을 사용하지 않을 것입니다. ... 아마도 그러한 에러 메세지가 출력된 것은 님이 사용하실 타겟 fpga의 로직량이 이 코드를 담기에 부족한 것이라 여겨집니다.
    리포트 | 30페이지 | 3,000원 | 등록일 2008.03.30 | 수정일 2021.06.28
  • 전기전자공학
    대응할 수 있도록 다양한 모델에 적용이 가능한 독립적인 모델(동작 기술)을 사용하여 설계한다. 1990년대 후반에는 개발품의 경우 논리 회로 프로그램을 이용하여 PLD, CPLD, FPGA
    리포트 | 6페이지 | 1,500원 | 등록일 2014.03.13
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    ~8 : 현재 금액 표시 SEG1 : 반환되는 500원짜리 동전개수 SEG2 : 반환되는 100원짜리 동전개수LED6 : 커피 LED7 : 우유 LED8 : 주스6 입출력 장치별 FPGA
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • 임베디드 stopwatch
    임베디드 관련 자료로서 FPGA로 stop watch 를 구현한 vhdl 프로젝트이다.
    리포트 | 3,000원 | 등록일 2005.07.10
  • 2015하반기 LIG넥스원 R&D 합격 자소서
    익숙해지는데 많은 시간이 걸렸지만 중간고사 이후에는 거의 자유자재로 사용할 수 있는 수준까지 되었습니다.이외에도 학부 생활을 하면서 VHDL기반의 곱셈기 및 카운터를 디자인 해보았고 fpga
    자기소개서 | 3페이지 | 3,000원 | 등록일 2016.07.08
  • 논리회로설계실험 FSM moore LCD (결과보고서)
    1. Introduction-state the problem what you solved(a brief summary)>>FSM이란?[컴퓨터] ①가질 수 있는 상태의 수가 유한한 기계. 현재의 컴퓨터는 이 유한 상태 기계에 속한다.②유한 개의 상태와 이런 상태들 간의 ..
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 디지털 회로설계 실험 3주차 레포트
    FPGA 구현위의 실험 74LS47: 7-segment decoder과정에서 했던 실험을 MAX+ PLUSⅡ로 구현하여 같은 값이 나오는지 알아본다.
    리포트 | 16페이지 | 2,000원 | 등록일 2008.12.01
  • 5주차 부울대수의 간소화(2) : Velrilog HDL code 이용
    실험결과1. f=ab’+a’b’c, s=b’(a+c)라는 두 개의 부울식을 Quartus ll Verilog HDL을 이용하여 구현하고, 두 식 F와 S의 결과 값이 서로 일치하는지 ModelSim과 Quartus ll를 이용해서 증명하자.1) Verilog HDL F..
    리포트 | 7페이지 | 1,000원 | 등록일 2014.10.12
  • 서강대학교 전자공학과 4학기(2학년 2학기)의 디지털논리회로실험 레포트입니다
    fanout에 대해 이해한다.(2) Gates를 이용하여 구현된 임의의 논리 함수가 최소화 될 수 있음을 확인한다.(3) Wired OR logic의 특성과 활용 방법을 익힌다.(4) FPGA
    리포트 | 9페이지 | 2,000원 | 등록일 2011.03.27 | 수정일 2021.09.20
  • 3주차 Xor Gate
    Quartus ll 툴을 이용하여 Not게이트를 만들었으며, Input값을 0과 1로 넣어줬을 때 결과 값이 달라지는 것을 위의 사진을 통해 볼 수 있다.실험고찰1. 1) testbench 파일 수정initial begin// Initialize InputsX = 1;..
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.12
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    FPGA: XC3S200 (Optional XC3S50, XC3S400)11. LED : 8EA12. ... FPGA Start-Up clock 에서 JTAG Clock으로 바꾼다.5. Configure Device(IMPACT)를 더블클릭한다.여기 이n
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • 컴퓨터 구조 텀프로젝트 피그 게임(term project_game of pig)
    스테이트 머신 다이어그램을 사용한 verilog 코딩 방식입니다.DE2 보드로 구현 가능합니다.pin assaign은 사이클론2 기준입니다.
    리포트 | 2,000원 | 등록일 2013.10.13
  • 리눅스 실험-가위바위보게임 - 소스와 보고서
    1. 설계 목표실험을 통해 학습하였던 타겟보드의 KeyPad와 7-segment, Text LCD 하드웨어를 C 프로그래밍과 리눅스를 이용하여 직접 제어할 수 있으며 이를 응용하여 사용자의 입력에 따라 원하고자 하는 정보를 얻을 수 있는 프로그램을 구현하고자 한다.1...
    리포트 | 14페이지 | 2,000원 | 등록일 2010.10.27
  • 무인 자동차의 과거 현재 미래
    그리고 연구팀은 CPU, FPGA(프로그래밍이 가능한 반도체), 각종 센서를 통해 입출력(I/O)된 정보를 받는 장치 등을 활용해 무인 자동차에 필요한 시스템을 구현했다.KAIST
    리포트 | 11페이지 | 2,000원 | 등록일 2015.12.15
  • 2016 삼성전자 DS부문 메모리사업부 자기소개서
    실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. 욕심이 너무 컸던 겁니다. ... 이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2016.05.16 | 수정일 2017.02.28
  • verilog를 이용한 spartan led 제어
    FPGA Prototyping Using Verilog Examples - Chu, Pong P4. Real XILINX FPGA World 8.1 - 김혁,박경윤,정명진5. ... Real World FPGA DESIGN with velilog - KEN 챌르무6.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.10.27
  • 101013 로봇카실험
    수 있고, CCD 카메라(지능시각)는 Pan/Tilt 기능 제공을 위한 서보모터(2EA)로 구성되어 이를 이용한 다양한 비전시스템 실험실습을 할 수 있으며, 영상 전처리 프로세서(FPGA ... 주요 특징㉮ 지능시각 처리를 위한 CCD 카메라 및 Pan/Tilt 기능을 위한 서보모터를 구성.㉯ Color space converting 등과 같은 영상 전처리 전용 프로세서(FPGA
    리포트 | 11페이지 | 1,500원 | 등록일 2011.10.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대