• 통큰쿠폰이벤트-통합
  • 통합검색(6,041)
  • 리포트(5,839)
  • 시험자료(116)
  • 자기소개서(37)
  • 방송통신대(20)
  • 논문(18)
  • 서식(7)
  • 이력서(2)
  • ppt테마(2)

"7 segment" 검색결과 81-100 / 6,041건

  • Application-Design-Ⅰ-7-segment and Piezo-Control
    Post-Lab Report- Title: Lab#08 Application_Design_Ⅰ@ 7-segment and Piezo_Control -담당 교수담당 조교실 험 일학 번이 ... 먼저 7-segment가 어떻게 D에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가 가능하다.일반적인 7-Segment를 말하며, Common Cathode와 Common Anode ... 이번 실험에서는 segment를 사용해서 그 전의 실험과 같은 결과를 나타낼 수 있었다.
    리포트 | 28페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    .▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 7-segment에 출력됨과 동시에 dotmatrix블럭에서는 "김정수"이라는 문구가 좌에서 우로 이동하면서 디스플레이 된다.▪ ... ■ 개념설계▪ HBE-COMBO 트레이닝 키트 상에서의 동작 개요 -첫 번째 비트 데이터는 7-segment의 A그룹에 두 번째 비트 데이터는 B그룹에 표시되고, A와 B그룹의 ... A, C키패드 눌렀을 때와 3, 7 키패드를 눌렀을 때의 결과를 RTL Simulation을 이용하여 확인한다
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다
    segment에 입력한 회로도를 짜고 회로를 설계했고 이론과 같이 7_segment의 출력이 0~9까지 차례대로 출력되는 것을 볼 수 있었다.5.실험 결과에 대한 고찰이번 프로젝트에서 ... 디코더와 7_segment에 연결하여 회로도를 구성하고 회로를 설계하여 표.2의 출력이 나오는 것을 확인 할 수 있었다. ... segment7개의 LED를 이용하여 10수를 표현해주는 장치(디지털 논리회로(디코더)를 이용한 10진수의 표현 가능)3.실험 재료-Dual output DC power supply
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.02 | 수정일 2020.01.22
  • [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    rs, rw, e, vfd_data);input clk; input [9:0] key;output [9:0] Dot_com;output [13:0] Dot_data;output [7: ... tb_kit_4adder_vfd;reg [9:0] key;reg clk;wire [9:0] Dot_com;wire [13:0] Dot_data;wire rs, rw, e;wire [7: ... ), .rs(rs), .rw(rw), .e(e), .vfd_data(vfd_data)); always #(sec) clk = ~clk;
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • 논리회로설계, 7segment verilog 설계
    논리회로설계7segment verilog 설계입니다.발표자료(ppt)와 verilog .v 파일이 폴더 안에 있습니다. verilog를 이용하여 7segment 회로설계를 할 때
    리포트 | 9페이지 | 1,500원 | 등록일 2012.01.12
  • [아날로그및디지털회로설계실습A+] 7-segment Decoder 회로 설계 예비 레포트 입니다
    아날로그 및 디지털 설계 실습11# 7-segment Decoder예비 레포트설계실습 11. 7-segment / Decoder 회로 설계1. ... segment를 이용한 7-segment 구동 회로를 설계하라.- 74LS14 : anode 공통형. 7-segment 입력단자로 0을 출력.-> 각 출력단자에 인버터(inverter ... 목적 : 7-segment와 decoder를 이해하고 관련 회로를 설계한다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • 7-segment를 이용한 숫자 출력 프로젝트 최종 보고서
    7-segment를 이용한 숫자 출력- 논리회로 실험 -목차1. 서론1.1 7segment의 종류1.2 7-Segment의 제어1.3 사용 소자 DATA SHEET2. ... segment에서 출력이 표현되도록 회로를 설계하여 7-segment에 0~9까지의 숫자가 표현 되는 것을 확인하였다.1. ... 여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.
    리포트 | 12페이지 | 2,000원 | 등록일 2013.12.22
  • 논리회로설계 프로젝트 디지털 시계2 (7-segment)
    = 8 then segment7 ... data_in = 6 then segment7 ... 이번 설계과제는 ‘2차 과제에서 7-segment에 출력하기 전단계인 32bit의 출력에 ‘초,분,시,일’을 8bit씩 차지하여 총 32bit로 출력한 데이터’를 실제 7-segment
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.08
  • 7-segment / Decoder 회로 설계
    (3) Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계하라. ... 목 적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. ... 설계실습 11. 7-segment / Decoder 회로 설계학과전자전기공학부학번조/이름담당교수수업시간실험일1.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.08
  • AVR ATmega128을 이용한 세그먼트(FND)의 활용 (7세그먼트,세그먼트,segment,7segment,FND,세그먼트활용,새그먼트,세그먼트동작,세그먼트 디코더,ATmega128과 세그먼트,세그먼트를이용,segment활용,segment정의,세그먼트예제,세그먼트실습,세그먼트실험,7세그먼트실험,7세그먼트실습,7세그먼트,74)
    이용가솔린의 값을 표시하는 기계적 7세그먼트 표시 장치.대부분의 7세그먼트 표시 장치 발광 다이오드(LED)로 각 획을 표시하지만 음극 방전관이나 진공관, 액정 디스플레이(LCD), ... 또한 자동적인 것은 아니지만, 사람이 획을 움직여 숫자를 표시하는 장비에서도 7세그먼트 표시 장치와 유사한 모양으로 획이 배치되어 있기도 하다.LED로 구현된 7세그먼트 표시 장치는 ... 각 획 별로 필요한 다른 하나의 핀은 장치에 따라 공용 (+)극이나 공용 (-)극으로 배당되어 있기 때문에 소숫점을 포함한 7세그먼트 표시 장치는 16개가 아닌 9개의 핀만으로 구현이
    리포트 | 18페이지 | 4,000원 | 등록일 2014.09.01 | 수정일 2017.04.12
  • Quartus 실습 - 7segment, Mux, Adder, Decoder
    Segment Decoder >> Source3. 7-Segment Decoder >> Compile3. 7-Segment Decoder >> Simulation4. 2x1 Multiplexer ... Report< Quarus 실습설계 >1. 6-bit Full_Adder (ripple carry adder)2. 6-bit Shift Register3. 7-Segment Decoder4 ... 6bit-Shift Register >> Source2. 6bit-Shift Register >> compile2. 6bit-Shift Register >> Simulation3. 7-
    리포트 | 9페이지 | 1,500원 | 등록일 2012.03.28
  • 7segment 와 텍스트 LCD 제어 프로그램
    실험 목적1-1) mmap 함수를 이용하여 7segment 디바이스를 제어해 본다.1-2) 7segment 응용프로그램으로 사용자의 키를 입력받아 실행되는 7segment 카운터를 ... 결과 및 분석2.1) 7segment 디바이스 제어2.1.1) 7segment는 8개의 LED엘리먼트로 구성되어 있다. ... 소문자 'b‘와 같은 결과를얻게 해준다.2.2) 7segment 응용 프로그램(7segment 카운터)int i;for(i=0;i
    리포트 | 3페이지 | 1,000원 | 등록일 2010.05.14
  • 7-segment simulation 실험
    LabVIEW를 이용한 7-segment simulation 실험1. ... 스위치 3개를 이용한 7-Segment 논리회로도 설계(1) 진리표 작성ABCabcdefg*************010110000201011011013011111100141000110011510110110116110101111171111110010
    리포트 | 3페이지 | 2,000원 | 등록일 2009.03.29
  • 7-segment 표시를 위한 Decoder 설계
    부울식을 지정해준다. (0)when "0001" => output ... 조건이 아닌, 논리식의 값에 따라 각 문장을 병렬 처리 하기 때문에 Decoder 설계에 유리하다.when "0000" => output ... entity seven_segment_decoder is -- 사용할 데이터 타입들과 포트를 선언port( input : in std_logic_vector (0 to 3); --
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • 7-segment 구동실습 보고서
    Kookmin University Mechanical & Automotive Engineering기계자동차 공학 실험Ⅱ실험제목:7-segment구동실습1.실험목표이론 수업을 통해 익힌 ... (3개변수)#ABCabcdefg*************010110000201011011013011111100141000110011510110110116110101111171111110001②카르노맵작성 ... 설계하여 본다.2.실험과제afbgecdh숫자 6의 표현afbgecdh숫자 7의 표현(1) 스위치3개를 이용하여 7-Segment를 0~7까지 제어afbgecdh그림1 7-Segment①진리표
    리포트 | 5페이지 | 1,000원 | 등록일 2011.01.24
  • 논리 소자를 이용한 7-segment 제어
    논리 소자를 이용한 7-segment 제어1. 목표이론 수업을 토대로 슬라이드 스위치 2개와 논리 소자들을 이용하여 7-segment를 0부터 3까지 제어한다.2. ... 고찰이번 실험은 슬라이드 스위치와 7-segment를 이용해서 아라비아 숫자를 표현해 보는 것이었다. ... 설계 목차스위치 3개를 이용하여 7-segment를 0부터 7까지 켜는 논리회로도를 설계한다.① 변수를 3개로한 진리표를 작성한다.② 진리표에 맞게 3변수 카르노 맵을 작성한다.③
    리포트 | 9페이지 | 1,000원 | 등록일 2010.12.18
  • VHDL을 이용한 7segment 구구단 프로그램(BOOTH 알고리즘 구현, 시연동영상 포함)
    리포트 | 3,000원 | 등록일 2015.04.12 | 수정일 2015.06.17
  • [디시설] 7segment를 이용한 학번 출력하기
    위 그림은 입력이 0001, 클럭이 1일 경우 출력이 1111110 (숫자0-7segment) 이 나오는 것을 확인 할 수가 있다. ... 이와 같이 클럭에 의해서 학번이 7segment의 출력으로 나오는 것을 알수가 있다. 입력과 출력단을 하나의 변수로 묶은 이유는 보다 쉽게 보기 위해 묶어보았다. ... 이번 설계 7segment로 학번을 클럭에 동기화 시켜 파형 발생은 좀 더 쉽게 설계가 가능하였지만 이번 단원에서 배운 플립플롭에 의한 설계를 통해서 과제를 해보고자 플립플롭으로 설계를
    리포트 | 10페이지 | 3,000원 | 등록일 2011.07.11
  • 8051을 이용한 7-segment
    #include ... #include // I/O 가 정의되어 있는 헤더 파일// 일정 시간 지연 함수void delay (unsigned int i){while (i--);}// 메인6void main ... < 실 험 결 과 보 고 서 >1.첫 번째 실험제목 : 7세그먼트 동작시키기 ( 2-2-3 )(1) 배경이론 및 관련이론→ 7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며
    리포트 | 9페이지 | 1,000원 | 등록일 2008.10.10
  • 7 segment를 이용해 학번과 이름 출력하기
    7 segment를 이용해 학번과 이름 출력하기by Han. S H1. 개요7 seament를 이용해서 입력을 했을 때 숫자와 알파벳이 출력되도록 한다.2. ... (4 downto 0);seg : out std_logic_vector (6 downto 0));end segment;architecture Behavioral of segment ... 항에 따라 출력값이 변하며 7seament는 7개의 LED등으로 구성 되면0일 때 꺼지고 1일 때 켜진다.고로 7bit 의 출력값을 가진다.3.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대