• 통큰쿠폰이벤트-통합
  • 통합검색(6,041)
  • 리포트(5,839)
  • 시험자료(116)
  • 자기소개서(37)
  • 방송통신대(20)
  • 논문(18)
  • 서식(7)
  • 이력서(2)
  • ppt테마(2)

"7 segment" 검색결과 101-120 / 6,041건

  • 메카트로닉스 실습 보고서 - Rotary SW to 7-segments using 74LS47
    실험 개요(1) 실험 목적- 7-segment7개의 숫자표시를 위한 LED와 DOT 표시를 위한 LED, 총 8개의 LED로 구성되어있으며 점등되는 7-segment의 LED에 ... 실험 방법(1) 7-segment 동작 원리- 7-Segment란 Bar형태로 된 LED를 7개 연결해 놓은 것이기 때문에 7-Segment의 동작원리는 LED의 동작원리이다. ... 메카트로닉스 및 실습 보고서-Rotary SW to 7-segments using 74LS47과목메카트로닉스 및 실습담당교수제출일학과학번이름1.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.29 | 수정일 2015.10.31
  • 메카트로닉스 실습 보고서-99 counter to 7-segments using ATmega16
    메카트로닉스 및 실습 보고서-99 counter to 7-segments using ATmega16과목메카트로닉스 및 실습담당교수제출일학과학번이름1. ... 프로그램을 ATmega16에 입력하고 회로를 제작하여 BCD SW를 통해 7-segment에 점등이 되도록 한다.(2) 실험 부품 List-① bread board ② battery ... segment를 연결시킨다.⑥ battery를 연결시킨 후 BCD SW를 돌리면서 0~9까지의 숫자가 나타나는지 확인한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.10.29
  • 메카트로닉스 실습 보고서-99 counter to 7-segments using 7447, 7490
    실험 개요(1) 실험 목적- 7-segment7개의 숫자표시를 위한 LED와 DOT 표시를 위한 LED, 총 8개의 LED로 구성되어있으며 점등되는 7-segment의 LED에 ... 실험 방법 및 부품 특성(1) 7-segment 동작 원리- 7-Segment란 Bar형태로 된 LED를 7개 연결해 놓은 것이기 때문에 7-Segment의 동작원리는 LED의 동작원리이다 ... 메카트로닉스 및 실습 보고서-99 counter to 7-segments using 7447, 7490과목메카트로닉스 및 실습담당교수제출일학과학번이름1.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.10.29
  • 수 체계 실험 결과 보고서 (7 segment)
    그 결과 두 개의 7-세그먼트 중 10의 자리수를 표현하는 7-세그먼트는 점등되지 않으며 1의 자리를 표시하는 7-세그먼트만 점등되어 각 숫자를 디스플레이 합니다.7447 기능 참고 ... .□ BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 시스템 구성. ... 이번 실험에서 기본적인 7-세그먼트 디스플레이에 결선하는 방법을 설명한다.이번 실험에서는 단순화된 디스플레이 장치를 구성해 볼 것이다.7-세그먼트 결선데이터 및 관찰 내용 :표 3-
    리포트 | 4페이지 | 1,500원 | 등록일 2011.01.05
  • 7 segment 진리표, 부울식
    7 - segment 진리표0 ~ F 까지 디스플레이 하는 경우DCBAabcdefgh*************00101100000001011011010001111110010010001100110010110110110011010111110011111100000100011111110100111100110101011111011101100111111110000011011110101111011111011011111111110001110② ... (c) F(A, B, C, D) = Σ(0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13)= AB' + A'B + A'C' + A'D + C'DCDAB0001111000111011111111101111 ... (b) F(A, B, C, D) = Σ(0, 1, 2, 3, 4, 7, 8, 9, 10, 13, 14)= ACD' + AC'D + A'B' + A'CD + A'C'D + B'DCDAB000111100011110111111110111
    리포트 | 4페이지 | 1,000원 | 등록일 2009.04.17
  • [마이크로프로세서] 7segment 1~9999카운터 (설계)
    과목명 : 마이크로프로세서제목:7segment 1~9999카운터설계#include // AT89S51 header file#defineFND0 P1 // Port 1, FND0 Data로 ... }; // 0 1 2 3 4 5 6 7 8 9 A B C D E F .unsigned char buff10,buff1,buff100,buff1000; // 함수선언int i;P0=0xff ... unsigned char fnd[17]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xd8,0x80,0x98,0x88,0x83,0xc6,0xa1,0x86,0x8e,0x7f
    리포트 | 2페이지 | 1,500원 | 등록일 2010.12.14
  • 4bit adder, 7segment decoder 디지털회로실험보고서
    브레드보드에 정상 작동 확인 후 만능기판에 납땜.WinCupl : Atmel Version 사용법File->New->Project 클릭 Name->segment(예)적는다.INPUT ... IO IO15 IO IO16 IO IO17 IO IO18 IO IO19 IO IO20 IO VCC21 IO22 IO23 IO24 VCCWinCupl 코딩Segment 코딩Name segment ... INPUT PINS/OUPIT PINS 아래 함수를적고 Run->Device Dependent Compile(F9)누른다.Compile 성공 화면Compile 성공적으로 되면 오른쪽에 segment.jed
    리포트 | 14페이지 | 1,000원 | 등록일 2012.12.01
  • 7-segment를 이용한 게임만들기 계획서
    Segment의 구조 (2/2)BCD-to-7segment 디코더4비트로 구성된 BCD 값을 입력하여 받아들여 7segment 표시기에 사용되는 a, b, c, d, e, f, g ... HarrisNaver Yahoo etc….10 - 11소자 / 부품 목록, 소스코드 목록7 segment (6)Switch (40)L. E. ... 신호를 만들어내는 조합회로BCD-to-7segment 디코더 기능을 수행하는 TTLㆍ에노드 공통형 : 7446, 7447 칩 ㆍ캐소드 공통형 : 7448, 7449 칩구현사양(2/
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.29
  • 7-segment 구현
    1.Active segments for each decimal digit.2.Truth Table for 7-Segment Logic.3.
    리포트 | 6페이지 | 2,000원 | 등록일 2006.11.13
  • 전자회로실험및설계 : 7-segment (7세그먼트) 예비보고서
    7-segment 예비보고서1) 7-segment란?7-segment는 간단한 디스플레이의 일종으로 7개의 LED로 10진수 아라비아 숫자들을 표시할 수 있게 만든 소자이다. ... .7-segment(FND) FND2) 7-segment의 원리7-segment에는 8개의 LED가 내장되어 있으며 내장된 LED들을 각각 따로 ON/OFF 제어하여 숫자를 표시할 ... 애노드 단자들을 하나로 묶은 소자를 공통 애노드 7-segment라고 하고, 캐소드 단자들을 하나로 묶은 소자를 공통 캐소드 7-segment라고 한다.여러 개의 7-segment들을
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • [마이크로프로세서실험] 인터럽트(Interrupt) & 7 segment 예비보고서
    7_Segment< 7 세그먼트 구성도 >7세그먼트 표시 장치(Seven-segment display)는 표시 장치 중 가장 흔히 쓰이는 장치이다. 7개의 획을 사용해 원하는 숫자나 ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다.다음은 일반적으로 각 숫자와 간단한 알파벳에 해당하는 7세그먼트 표시 장치의 모습이다.< 7세그먼트의 ... 7_Segment2. Reference1. 예비조사 및 실험 내용의 이해?
    리포트 | 10페이지 | 1,500원 | 등록일 2012.05.30
  • [vhdl] 7segment, testbench파일 포함
    ;architecture behavioral of seg77 issignal clk_500: std_logic;signal cnt: std_logic_vector(20 downto ... ieee;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seg77 ... STD_LOGIC;SEG : out STD_LOGIC_VECTOR (6 downto 0);DIGIT : buffer STD_LOGIC_VECTOR (5 downto 0));end seg77
    리포트 | 4페이지 | 1,000원 | 등록일 2008.06.14
  • LED, 7-segment 회로 실험 결과보고서
    실험 준비물 ① 7-segment - LED를 이용해서 숫자표시를 할 수 있도록 만들어진 소자이다.② 74LS48 - 7-segment 구동을 위하여 사용되는 장치로오른쪽 9~16 ... 준비물은 위에 작성된 7-segment, 74LS48, 브레드보드, NI-Devices 그리고 LabView를 사용할 수 있는 PC 정도이다.② 브레드 보드에 7-segment74LS48그리고 ... 프로그램이나 회로의 문제가 아닌7-segment 의 성능 문제라고 할 수 있다.
    리포트 | 10페이지 | 3,000원 | 등록일 2010.12.15
  • LED 7segment Text lcd driver
    6개의 7-segment LED가 있으며, 7-segment 한 개를 제어하기 위해서는 8개의 출력비트가 필요가상 번지를 주소를 사용하여 각각의 LED를 제어할 수 있다getsegcode ... segment 하드웨어를 이해 7-segment를 제어하는 문자디바이스 드라이버와 응용프로그램사용법 - ioctl함수를 이용 ioctl함수 사용법 -디바이스 드라이버에서 가장 많이 ... 2008. 5. 21디바이스드라이버의 특징 LED 드라이버 7segment 드라이버 텍스트 LED 드라이버커널과 디바이스 제어를 필요로 하는 하드웨어를 연결시켜주는 소프트웨어 커널을
    리포트 | 16페이지 | 1,500원 | 등록일 2008.05.31
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    7-segment와 10의자리 7-segment 2개로 숫자를 표현하는 과정이다.1. ... 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과7-segment 블록 다이어그램을 보면 먼저 4bit의 input을 입력받아서(0~15까지 표현가능) 이를 ... binary to BCD converter에 통과시켜 각각 2개의 4bit의 input을 BCD to 7-segment에 입력하여 7-segment controller를 통해 1의자리
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 전자회로실험및설계 : 8051 MCU를 이용한 7-segment (7세그먼트) 제어
    7-segment 결과보고서7-segment는 숫자 모양으로 LED를 배치시킨 소자이다. ... P0_5에 가장 왼쪽(첫 번째)에 위치한 7-segment의 핀을 연결하고, 가장 오른쪽의 7-segment의 핀은 P0_0에 연결하였다.7-segment에 숫자를 표시하려면 7-segment의 ... 이번 실험에 사용한 7-segment 보드는 MCU에 작성한 프로그램을 넣어 7-segment를 확인해보니 0을 출력해야 LED가 ON이 되는 애노드 공통 7-segment를 사용한
    리포트 | 9페이지 | 1,000원 | 등록일 2011.12.28
  • 8051 microcontroller를 이용한 7-segment stop watch구현
    Time is represented by the three 7 segment LED modules. ... For example, if number of 7 segment is 37.2, 8 LED modules should be 0011 0111. ... (B) LEDDuring stopwatch operates, 8 LED modules present the number of 7 segment LED as binary number.
    리포트 | 9페이지 | 8,000원 | 등록일 2009.12.23
  • 마이크로프로세서 응용 및 실험 [LED 및 7-segment 점등]
    segment 점등▷ 1번 스위치 입력 ( Rising Edge ) 이 1번이 있을 때마다 LED와 7-segment 로의 출력선택▷ 2번 스위치 입력이 1번 있을 때 현재 상태에서 ... 모든 스위치 입력처리는 인터럽트만 사용▷ 입력 : push switch – 2개▷ 출력 : LED – 8개 , 7-segment – 1개2. ... - LED 출력이면 점등된 1개의 LED 이동 ( 반향 전환은 없으며, 입력이 있을 때 마다 한칸씩 이동 )- 7-segment 출력이면 표시 숫자 증가1.2 설계과제의 제한조건▷
    리포트 | 16페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • 7 segment 예비 & 결과 보고서
    실험내용 :광소자의 특성(7-Segment)2. 실험일시 :2007년 10월 29일3. 실험목적 :7- 세그먼트(seven-segment) 표시기로 숫자를 표시한다.4. ... 5는 2,4,7,9,106은 1,2,4,7,9,107은 4,6,78은 1,2,4,6,7,9,109는 2,4,6,7,9,10위에 사진들은 모두 vcc는 8입니다.그리고 . ... 2,4,7,9,106은 1,2,4,7,9,107은 4,6,78은 1,2,4,6,7,9,109는 2,4,6,7,9,10vcc를 반대로 3에 연결하게 되면 예비 레포트 상에 그림 16.13을
    리포트 | 7페이지 | 1,000원 | 등록일 2008.11.15
  • [예비]7-segment / Decoder 회로설계
    segment를 이용한 7-segment 구동 회로를 설계하라.- pspice에 7-segment 소자가 없어서 시뮬레이션은 해보지 못하였고, 위와 같이 회로를 설계하여 보았다.* ... 여기서 막대 모양의 LED 하나 하나 segment라고 하며, LED가 7개 사용됨으로 7-segment 표시기라고 이름이 붙여졌다.2. ... 설계실습 11. 7-segment / Decoder 회로 설계1.목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2.준비물직류전원장치1대멀티미터 또는 오실로스코프1대Bread
    리포트 | 5페이지 | 1,500원 | 등록일 2008.11.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:18 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대