• 통큰쿠폰이벤트-통합
  • 통합검색(6,041)
  • 리포트(5,839)
  • 시험자료(116)
  • 자기소개서(37)
  • 방송통신대(20)
  • 논문(18)
  • 서식(7)
  • 이력서(2)
  • ppt테마(2)

"7 segment" 검색결과 121-140 / 6,041건

  • 인하대 전자회로 실험 및 설계1 LAB6 7segment, Switch 제어 프로그램 결과보고서
    // 2번째 7-segment 값을 위한 배열 인덱스// 3번째 7-segment 값을 위한 배열 인덱스// 4번째 7-segment 값을 위한 배열 인덱스// 5번째 7-segment ... // “I LOVE YOU” 순서를 담은 배열// 필요한 변수 선언// 무한루프// 각 배열의 인덱스 값을 얻기 위해 j ... 값을 위한 배열 인덱스// 6번째 7-segment 값을 위한 배열 인덱스// k값을 이용해 I love you 가 흐르는 속도 조절// 이하의 코딩은 각 핀을 선택해서// 앞에서
    리포트 | 6페이지 | 1,500원 | 등록일 2014.12.20
  • 설계실습 11. 7-segment / Decoder 회로 설계 결과
    설계실습 11. 7-segment / Decoder 회로 설계1. ... 이번 설계실습 계획서를 애노드형 7-segment로 작성하여 그대로 실습하지 못하였지만 캐소드형 7-segment와 큰 차이가 없어 약간의 회로 수정을 통해 원하는 결과를 볼 수 있었다 ... -segment의 원리를 알고 나니 실상 좀 싱거운 면이 있었지만 그래도 회로 설계시 많이 쓰이는 7-segment 실험을 하게 되어 뜻 깊은 실험이었다.
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • 논리 소자를 이용한 7-segment 제어 예비보고서
    7-segment 예비보고서(AND, OR, NOT 게이트, 불 대수의 정의 및 기본 정리, 카르노 맵)1. ... 표준 14-핀 패키지에는 4개의 2-입력 게이트와 3개의 3-입력 게이트 혹은 4개의 2-입력 게이트들로 구성되는데, 2개의 핀은 파워서플라이를 위한 핀으로 남겨진다.다음은 symbol들은
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.18
  • 경희대 컴퓨터구조 과제6 타이머 7segment 과제 - 보고서 & 소스코드
    과 목 명 : 컴퓨터구조과제6학 과 : 생체의공학과이 름 :학 번 :담당교수 : 조 민 형 교수님Timer와 7-segment LED를 사용하여 알람 기능이 있는 시계를 만들어라. ... #include#include#define F_CPU 16000000ULchar LEDdigit[4]={0,0,0,0};// 7세그먼트에 숫자를 출력하기 위한 배열입니다.volatile ... , 0x07, 0x7F, 0x67}; // 숫자 모양을 위한 배열입니다.volatile int count=0;// 초를 세기위한 카운트입니다.volatile int selectmode
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.06
  • 설계실습 11. 7-segment / Decoder 회로 설계 예비
    board1대저항(330Ω)8개Decoder(74LS47)1개Hex Inverter(74LS04)8개7-segment1개Toggle Switch4개점퍼선다수1. 7segment7segment ... (3) Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계하라. ... 설계실습 11. 7-segment / Decoder 회로 설계1.목적 : 7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2.준비물직류전원장치1대멀티미터 또는 오실로스코프1대Bread
    리포트 | 6페이지 | 1,500원 | 등록일 2010.11.12
  • FPGA 디지털 시스템 설계 : 16:1 Mux 및 Hex to 7 segment 설계
    =9; #100 sel=10; #100 sel=11; #100 e hex7segment(hex,segment);input [3:0] hex;output [7:0] segment;reg ... f까지의 문자를 7 segment에 나타내려면 아래와 같이 led를 켜면 될 것이다.afgbecd.X7 segment의 led에 알파벳으로 순서를 정하고, 8bit 출력 신호에서 ... [7:0] segment;always@(hex) //segment : Xgfe_dcbaif (hex == 4'b0000) // hex=0segment = 8'b0011_1111;else
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.18
  • [공학]Keypad를 이용 7 segment 나타내기
    ▣ Keypad를 이용 7 segment 나타내기- 초기출력은 7 segment에서 'F'가 출력 되도록 함.- 1~9까지의 키패드 버튼 동작시 ‘F' 출력은 없어지고 그 버튼의 숫자가 ... bit_test(portb,2)) portb=font_table[7]; //버튼 7번if(! ... bit_test(porta,1)) portb=font_table[7];//버튼 7번 눌렀을 시 0b1011000출력if(!
    리포트 | 4페이지 | 1,000원 | 등록일 2007.03.12
  • [7segment] 예비리포터(7segment 제어)
    . 7segment 표현. ... Mega 103회로도{그림 . 7segment 부분 회로도위 두개 회로도는 7segment 와 Mega103이 연결되어있는 것이다. ... {그림 3. 7 segment 표현다음 그림과 표는 스위치가 닫일 경우 7 segment가 커지는 것을 나타낸 것이다.*회로도{그림 .
    리포트 | 6페이지 | 1,000원 | 등록일 2004.04.09
  • verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    수와, 덧셈 결과값을 7-segment에 출력한다. ... 처음에 comboⅠ 키트에서 입력받은 수를 첫 번째, 두 번째 7-segment에, 두 번째로 comboⅠ 키트에서 입력받은 수를 세 번째, 네 번째 7-segment에, 그 두 수를 ... 더한 결과값을 일곱 번째, 여덟 번째 7-segment에 십진수 표현으로 출력한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 전전컴실험Ⅱ 06반 제10주 Lab#08 [7-segment, Piezo] 결과 보고서
    Results of this Lab (실험 결과) ‥‥‥‥‥‥‥‥‥‥‥ 7가. Results of Lab 1. ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 7나. ... Check agreement between the hypothesis/simulation and the result 12나.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 디지털 시스템 실험 기본적인Combinational Circuit(Decoder, Binary-to-BCD Convertor, BCD-to-7 segment Decoder) 결과보고서
    segment Decoder 설계)실험목표2-to-4, 3-to-8 라인 디코더를 설계한다.Binary-to-BCD Convertor 설계한다.BCD 입력을 7 segment로 출력하는 ... 실험 결과 보고서작성자:학번:실험조:실험일:실험제목기본적인Combinational Circuit(Decoder 설계, Binary-to-BCD Convertor 설계, BCD-to-7 ... 13: 다시 10단위시간이 지나면 A가 1, B가 0으로 바뀌도록 설정했다.Line 14: 또 다시 10 단위시간이 지나면 A, B 모두 1로 유지되도록 설정했다.Line 16: sequence를
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 영문자를 나타내는 7-segment 디코더
    DESCRIPTION: This code is for decoding radix 8-- A small letter alphabet to show it on 7 segment----- ... segment decoder-- FILE NAME: seven_seg.vhd-- DATE: 2005. 11. 22-- AUTHOR: 컴퓨터정보공학부 200421334 윤지인---- ... : out std_logic_vector(5 downto 0);SEG_OUT: out std_logic_vector(7 downto 0) );end entity SEVEN_SEG;-
    리포트 | 4페이지 | 2,000원 | 등록일 2007.12.01
  • 기초회로 실험 Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다. (결과보고서)
    기초회로실험(2분반) 2조 결과보고서 1(제 8회)실 험 주 제Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다.제 출 일 시학 번 / 이 ... 표시할 수 있도록 7-segment LED 구동 신호를 출력한다.입력을 각각 0V, 0V 로 인가하면 7408의 출력은 위쪽 게이트부터 1, 0, 0, 0 이다. ... 실험2)1) 표 2BALED0V0V10V5V25V0V45V5V8※ 실험분석 및 고찰: 실험2)는 실험1) 회로에 7448을 연결하고, 7448에 7-segment LED를 연결하여,
    리포트 | 3페이지 | 1,000원 | 등록일 2012.10.31 | 수정일 2013.11.11
  • 실험(1) final project-7447, 7 segment, 74390, led 등을 이용한 암호 제어
    여기서 7447은 BCD 코드를 7 segment에 들어가는 A~G의 신호로 바꿔주는 역할을 하는 디코더이다. 7447에서 나온 신호는 7 segment로 들어가서 74390에서 카운트 ... 된 숫자를 7 segment에 표시하게 된다.입력부 및 출력부의 작동을 간단화하면 다음과 같다.그림 57 segment도 다른 소자와 마찬가지로 바로 기판에 납땜하지 않고 소켓을 ... segment에 출력될 뿐만 아니라 비밀번호로 인식되어야하므로 74390에서 나온 BCD 코드에서 하나씩 뽑아와 위의 그림처럼 회로를 짜면 된다. input 1에서 들어온 숫자가 제일
    리포트 | 7페이지 | 3,000원 | 등록일 2012.02.29
  • 실험(1) final project-7447, 7 segment, 74390, led 등을 이용한 암호 제어
    하지만 전체적으로 시스템을 reset 시키기 위해서는 위에서 말한 세 부분 모두 reset 되어야 한다.예비보고서그림 7 segment와 ENTER 카운터의 reset먼저 7 segment를 ... 주어진 조건에서는 reset시키면 7 segment에서 000이 표시되는 것을 말한다. ... reset 시키는 부분을 살펴보자. 7 segment를 reset 시키기 위해서는 74390을 reset 시키면 된다.
    리포트 | 6페이지 | 3,000원 | 등록일 2012.02.29
  • 쿼터스를 이용하여 DE-2 board를 이용한 7-segment 표시(모델심 추가)
    de-2 board를 이용한 7-segment 표시입니다 모델심 파일이 추가되어 있습니다과제 제출용이며 확실히 돌아갑니다^^
    리포트 | 4페이지 | 1,000원 | 등록일 2011.06.18
  • 7 segment
    ◎카르노 도(Karnaugh map)◎1.불 대수와 논리회로■불 대수의 정의; 어떤명제가 참인지 거짓인지 논한는 것.■불 대수의 기본연산; 논리합, 논리곱, 논리부정으로 표현하는 것.■불 대수의 기본법칙;교환, 결합, 분배법칙 성립. [공리]요약.■논리식의 전개;최소항..
    리포트 | 8페이지 | 1,000원 | 등록일 2001.05.10
  • verilog code - (combo kit) 10진수 2자리수 곱셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ‘×’으로 출력해야 하고, 첫 번째 keypad 입력을 누르고 떼는 그 ... segment, VFD의 각각의 세부적인 출력 방법이 다르기 때문에 그 점을 유의하면서 코딩해야한다.?
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • 마이크로프로세서 응용 및 실험 [LED 10단계 밝기 조절, 7-segment 표시 ]
    . → “9” → “0” → ….. )◆ 2번 스위치 입력이 있을 때 7-segment 표시 선택ㆍ 시간(초) 표시, 밝기 표시 선택ㆍ 각 밝기 단계 또는 시간(초) 를 7-segment ... switch 3개 , 저항 4개▷ 소스 파일#include #include volatile unsigned char segment[]={0x7f,0x06,0xba,0xae,0xc6,0xec ... segment2.
    리포트 | 14페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 11장 7segment와 Decoder 회로 설계
    segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서11-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... 실습목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.11-2.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대