• 통큰쿠폰이벤트-통합
  • 통합검색(280)
  • 리포트(269)
  • 자기소개서(9)
  • 논문(1)
  • 시험자료(1)

"VHDL 소스" 검색결과 81-100 / 280건

  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    동작을 간단하게 모델링 한 것으로 vhdl 구현 시에 behavioral 방식으로 그대로 작성이 가능하다.Sequence detector는 과거의 입력결과를 기억해서 특정 시퀀스가 ... ↓↓↓↓↓↓↓↓↓↓Q*************0101Q10011001100110011Q20000111100001111Q300000000111111110123456789ABCDEF2.소스코드 ... 상태도는 다음과 같다.2.소스코드 설명1~3)자료형, BCD decoding을 위한 라이브러리 선언.6~13)상태머신을 설계하기 위하 필요한 클럭, 리셋, 스위치, 소다를 설정.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 논리회로설계실험_디코더/엔코더 레포트
    실험 목표디코더와 엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을 ... A _{0} `` prime Y _{1} =A _{1} prime `A _{0}Y _{2} =A _{1} `A _{0} `` prime Y _{3} =A _{1} A _{0}2) 소스 ... _{2}D _{1}D _{0}Y _{1}Y _{0}000100001001010010100011Y _{1} =D _{2} +D _{3}Y _{0} =D _{1} +D _{3}2) 소스
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • SoC 보고서 - 1.동기통신(PS2)
    그 원인을 알아보는 과정에서 VHDL 문법적인 부분과 시뮬레이션 과정에 대해 더 많은 공부를 할 수 있었다. ... 소스코드 및 코드 설명 -------------------------- p.6A) ps2_keyboardB) ps2_receiverC) tb_ps2 (test bench)3. ... 이상이 없다면 해당데이터를 그대로 수신해서 사용한다.소스코드 및 코드 설명ps2_keyboardps2_receivertb_ps2 (test bench)시뮬레이션 결과 및 설명ps2_
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 논리회로설계 실험 디코더 인코더
    실험 목표반가산기와 전가산기에 대해 알아보고 반가산기의 진리표와 논리식을 작성하고 그에 따른 논리회로를 그리고 자일링스 프로그램을 사용하여 VHDL언어로 동작적, 자료흐름, 구조적 ... 게이트 1개와 XOR 게이트 1개를 사용한 논리회로와 논리식이 외에도 NOT게이트를 사용하면 더 많은 논리회로들을 그릴 수 있으나 본 실험에는 세 개의 논리회로만 그려보았다.2) 소스 ... 논리회로중에서 가장 간단한 회로인 XOR 게이트 1개와 AND 게이트 1개를 사용한 회로로 Schematic을 하였다.4) 테스트 벤치 코드우측에 있는 테스트벤치는 Schematic의 작동 소스이고
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한국수력원자력 전자 직렬 첨삭자소서
    그러니 OO님의 행동 등이 잘 드러나지 않네요.전자회로 프로젝트로 QuartusⅡ 소프트웨어를 이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. ... 해당 조직이나 활동에서 본인이 맡았던 역할에 대해 상세히 기술해 주십시오. (400자 이내)[위기 극복의 조력자]전자회로 프로젝트로 QuartusⅡ 소프트웨어를 이용해 직접 VHDL소스
    자기소개서 | 13페이지 | 3,000원 | 등록일 2023.02.03
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    소스총 4가지의 state를 정의하였다. ... case구문으로 횡단보도 제어 시스템 설계하기(전체 105초 동작 반복)사람 통행 30초 -> 점멸 10초 -> 차량 통행 60초 -> 황색등 5초case 문을 사용한 횡단보도 제어기의 VHDL ... 다만, cnt가 0이 되는 순간 state2의 값이 한 클럭씩 중복이 되는 현상이 반복되어 아래와 같이 문제를 해결하게 되었다.시뮬레이션 결과1의 오류를 수정한 소스state를 이용한
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    이를 통해 가산기와 감산기의 차이점에 대해 이해하도록 한다.멀티플렉서/디멀티플렉서멀티플렉서 (multiplexer)는 여러 개의 데이터 소스로부터 입력되는 디지털 정보들을하나의 출력 ... en.wikipedia.org/wiki/Adder_(electronics)Stephen Brown, Zvonko Vranesic/Fundamentals of Digital Logic with VHDL
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다 ... `C` primeY _{5} =AB _{prime } prime `C`Y _{6} =A`B _{prime } `C` primeY _{7} =A`B _{prime } `C`(2) 소스
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • VHDL로 만드는 라인트레서
    소스코드 설명 (Test bench 포함)(1) remote controllable car(2) line-tracer3.
    리포트 | 7페이지 | 1,000원 | 등록일 2018.10.09
  • 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    소스코드 첨부합니다.modelsim 상에서 VHDL파일로 실행가능합니다. ... 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.당시 한태희 교수님이셨고, 두번째 과제였습니다.8bit decimal Counter schematic
    리포트 | 1,000원 | 등록일 2017.05.23
  • 논리회로설계실험 FlipFlop Register 예비보고서
    논리기호회로도VHDL 코드소스코드테스트 벤치 코드Waveform실험 2. ... 시프트 레지스터 VHDL 코딩구조적 모델링 소스코드테스트 벤치 코드Waveform출처위키백과‘플립플롭’ Retrieved May 09, 2017, from< https://ko.wikipedia.org ... 또한 D Flip-flop 4개를 가지는 병렬 레지스터 회로도를 바탕으로 4비트 시프트 레지스터를 VHDL 코딩을 해 본다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실습-FSM-예비보고서
    이 후 무어 머신을 사용하여 커피 자판기 작동을 VHDL로 코드를 작성해 본 후 테스트 벤치 코드를 사용하여 소스코드가 정상적으로 작동하는지 확인해 본다.예비 이론FSM (Finite ... 소스코드를 분석해 보겠다. ... 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • VLSI Project-보고서-택시미터기
    bin_to_bcd_14bit (하위 모듈 add3과 FND 디코더를 포함한 소스)input : 4비트 2진수 입력output : 4비트 BCD 출력2) 주요 기능( 개발 내용 )① ... 과 제 명taxi 미터기 구현담당교수제출일팀 원학 번이 름수행과제개요일상생활에서 흔히 이용하는 이용수단인 택시의 미터기를 보고지금 배우고 있는 VHDL을 이용하여 직접 구현해보면 재미있겠다는 ... 서론1) 과제 개요 및 설계 내용일상생활에서 흔히 이용하는 이용수단인 택시의 미터기를 보고 지금 배우고 있는 VHDL을 이용하여 직접 구현해보면 재미있겠다는 생각에 이 주제를 선정했다.택시라는
    리포트 | 11페이지 | 2,000원 | 등록일 2018.11.18
  • [임베디드하드웨어]Xillinx및 VHDL기반의 숫자야구게임
    임베디드하드웨어 시간에 했던 Xillinx툴 및 VHDL기반의 코딩언어를 이용한 숫자야구게임최종보고서 및 프로그램소스코드 및 발표자료 전부 포함입니다.
    리포트 | 3,000원 | 등록일 2016.10.29 | 수정일 2016.11.01
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... 또한 VHDL로 작성된 코드를 RoV-Lab3000을 사용하여 직접 하드웨어로 구현해 보며 사용법과 주의 사항에 대하여 완벽히 숙지하였다. ... 최종적으로 작성된 소스코드를 사용하여 높은 안정성과 빠른 주행속도를 가진 라인트레이서로 트랙을 완주해 본다.2.
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... 최종적으로 작성된 소스코드와 하드웨어의 작동을 비교해 본 후 stopwatch 기능을 정확히 수행하는지 확인해 본다.관련 기술 및 이론FSM (Finite state machine) ... 이를 사용하여 Xilinx(Spartan 3)를 이용하여 Stopwatch 코드를 설계하여 보았다.선언부 소스코드Stopwatch에서 사용될 input과 output을 선언해 주었다
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • VHDL 프로젝트 두더지게임기 구현
    두더지 게임 VHDL 코드 입니다. 사용한 프로그램은 Quartus2입니다. ... 알집파일엔 보고서와 프로그램 실행파일이 있고 프로그램이 깔려있다면 바로 실행가능합니다.보고서엔 코드소스소스설명 , 핀할당, 기능설명, 동작사진, 고찰이 있습니다. ... 파일이름을 변경하실 경우 소스코드에 ddz 라고 되어있는 부분도 함께 변경해야 컴파일됩니다.)
    리포트 | 9,000원 | 등록일 2016.11.03 | 수정일 2017.11.15
  • vhdl 디지털 탁상 시계 설계
    5, 7-segment 6, register 270ohm 56, push button sw 3, etc.부품목록대체목록회로도● 회로도● 부품배치도 & PCB 패턴도회로도프로그램 소스리스트 ... 소스리스트1) clk_div.vhd2) debounce.vhd3) modestatus.vhd4) setalarm.vhd5) settime.vhd6) stopwatch.vhd7) time.vhd8 ... 흐름도- 소스리스트- 흐름도- 가상언어하드웨어- 회로도- 상세도- PCB- 부품배치도통합- SW/HW의 병합, 자료 동일설계수행-시험방법항목평가소프트웨어1) 실제 동작 클럭은 2.000MHz이나
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • Full Adder 소스 ( ha + or )
    VHDL< Full Adder 소스 >--------------------------------------------------------library ieee;use ieee.std_logic
    리포트 | 2페이지 | 1,000원 | 등록일 2011.05.29
  • 결과보고서 #10 - 순차회로 설계 (FSM)
    아래 상태도와 같은 자판기 알고리즘을 VHDL을 이용해 설계한다.(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A4_KYK_KYS ... 실험 목표순차회로의 일종인 FSM의 일종인 밀리머신과 무어머신의 개념을 이해하고 이를 이용해 실생활에서 쓰이는 자판기를 VHDL 코드를 이용하여 설계해볼 수 있다.2.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:24 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대