• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(592)
  • 리포트(540)
  • 자기소개서(29)
  • 시험자료(14)
  • 방송통신대(5)
  • 논문(2)
  • 이력서(1)
  • ppt테마(1)

"hdl설계" 검색결과 81-100 / 592건

  • 시립대 전전설2 Velilog 예비리포트 3주차
    실험 목적Verilog HDL문법을 이해해보자AND gate 설계NAND gate 설계Full adder 설계 (두 가지 방법으로)2. ... Verilog HDL 실습 3주차예비리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험 ... 배경 이론1) Verilog HDL 문법- 참고문헌 1) 참고2) AND Gate- 출력은 논리 입력의 곱과 같음3) NAND Gate- AND 게이트와 NOT 연산을 조합한 결과3
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험하고, Finite State Machine 등을 설계 실습한 뒤, 로직을 시뮬레이션하기 ... HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... 활용하여 최적화 한다.⑤ 적절한 논리 회로도를 설계한다.2.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털시스템실험 2주차 예비보고서
    Verilog의 목적Verilog HDL은 하드웨어 설계자가 저수준(게이트. ... HDL의 주요한 사용은 설계자가 설계회로를 제작하기 전에 회로의 동작여부를 시뮬레이션하는 도구이다.4. Verilog의 문법? ... Verilog는 산업 및 학계에서 하드웨어 설계자에 의해 사용되는 두 주요한 HDL(Hardware Description Languages)중 하나이고 다른 하나는 VHDL(VHSIC
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... 참고문헌1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안2) M.Morris Mano, Michael D. Ciletti.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    합성 및 분석을 위해 제작 한 software suit이다.3) Xilinx :ISE (Integrated Synthesis Environment)는 Xilinx에서 HDL 설계의 ... 그러나 일반적으로 속도가 느리고 복잡한 설계에 적용이 불가하며 소비전력이 크다는 단점이 있다.[1]2) Vivado Design Suite 2014.4 :Xilinx에서 HDL 디자인의 ... 위의 모듈 설명과 마찬가지로, 하나의 모듈을 구성할 시에 게이트 프리미티브를 인스턴스한다.[3]테스트벤치(testbench):테스트벤치는 HDL설계한 논리회로를 시뮬레이션 검증을
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 삼성전자 DX부문_회로설계_최종합격 자기소개서_자소서 전문가에게 유료첨삭 받은 자료입니다.
    보유기술은 전문분야에 해당하는 핵심 기술 위주로 작성바랍니다.디지털 회로설계- Verilog HDL을 이용한 디지털 회로 설계 및 시뮬레이션 역량- FPGA를 이용한 회로 구현 경험 ... 모습을 지향하며 새로운 기술을 빠르게 습득하고 적용할 수 있는 역량을 보유하고 있습니다.실제로 재직중인 직장에서도 빠른 업무습득에 대해 좋은 평가를 얻었고 신규 프로젝트의 디지털 회로설계에서
    자기소개서 | 1페이지 | 4,400원 | 등록일 2024.03.09
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    전자전기컴퓨터공학부 설계 및 실험2Post Lab-03Introduction to Verilog HDL실 험 날 짜학 번이 름목차1. ... 실습 Lab#03 Verilog HDL, 서울시립대학교.ppt Verilog HDL 이론과 문법PAGE \* MERGEFORMAT2 ... Example – AdderTest Bench Block은 Simulation이 시작되면 설계 Block으로 Stimulus를 인가하고 설계 Block에서 계산된 결과가 Test
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 시립대 전전설2 Velilog 결과리포트 3주차
    때문에 복잡하고 생소한 Verilog HDL 문법을 이해하고 숙지한 상태가 아니라면 회로를 설계하는데 어려움이 있을 것 같다. ... Verilog HDL 실습 3주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록1 ... 예상결과- Schematic을 이용하여 게이트를 직접 그려서 논리 회로를 설계하고 시뮬레이션 및 프로그래밍 했던 이전 실험과 달리 Verilog HDL 코드를 작성하여 실험을 하기
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 디지털공학 xilinx 결과레포트 NAND2, NOR2, XOR2
    실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. 실험 결과-NAND-XOR-NOR3. ... 고찰이번 실험은 HDL을 이용하여 코드를 작성하고 NEXYS-4-BOARD를 연결하여 의도한 논리 게이트와 실제 결과 값이 일치하는지 확인하는 실험이었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    Xilinx :ISE (Integrated Synthesis Environment)는 Xilinx에서 HDL 설계의 합성 및 분석을 위해 제작 한 소프트웨어 도구이다.4. ... Vivado Design Suite 2014.4 :Xilinx에서 HDL 디자인의 합성 및 분석을 위해 제작 한 software suit이다.3. ... 속하고, 사용자 측에서 보면 사용자 요구에 맞게 프로그래밍하여 사용할 수 있으므로 주문형 반도체 범주에 속한다.비메모리 반도체의 일종으로, 회로 변경이 불가능한 일반 반도체와 달리 HDL
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    고찰이번 실험은 Verilog HDL을 이용하여 SR Latch, D Flip-Flop, T Flip-Flop을 설계하고 시뮬레이션 결과를 확인하였다. ... Verilog 언어를 이용한 Sequential Logic 설계결과레포트1. 실험 제목1) Verilog 언어를 이용한 Sequential Logic 설계2.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.11.06
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    Xilinx :ISE (Integrated Synthesis Environment)는 Xilinx에서 HDL 설계의 합성 및 분석을 위해 제작 한 소프트웨어 도구이다.4. ... 그러나 일반적으로 속도가 느리고 복잡한 설계에 적용이 불가하며 소비전력이 크다는 단점이 있다.[1]2. ... Digilent Nexys4 FPGA Board:이미 설계된 하드웨어를 반도체로 생산하기 직전 최종적으로 하드웨어의 동작 및 성능을 검증하기 위해 제작하는 중간 개발물 형태의 집적
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • FSM회로 구현 예비레포트
    실험 목적-hardware description language(HDL)을 이해하고 그 사용방법을 익힌다. ... -FPGA 의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3. ... 관련 이론-fsm유한 상태 기계(finite-state machine, FSM) 또는 유한 오토마톤(finite automaton)은 컴퓨터 프로그램과 전자 논리 회로를 설계하는 데에
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • Verilog로 고성능의 12비트 곱하기 4비트의 multiplier를 설계 (레포트, 설계파일)
    설계목적Verilog HDL을 이용하여 고성능의 12비트 곱하기 4비트의 multiplier를 설계한다.2. ... 설계사항Multiplier는 기본적으로 partial product(이하 PP)의 합으로 정의할 수 있다. ... 따라서 가장 적은 PP를 얻어내는 것과 좋은 성능의 adder를 가지는 것이 고성능 multiplier를 설계하는 데에 가장 중요한 사항이라고 볼 수 있다.
    리포트 | 2페이지 | 3,000원 | 등록일 2020.04.15
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트
    그에 비해 Verilog HDL과 FPGA를 이용해 카운터를 설계할 때는 count = count + 1; 과 같이 간단한 코드로 논리를 만들 수 있어서 간편했다. ... 동기 카운터 설계를 할 때에는 간단한 up카운터 일지라도 진리표를 그리고 카르노 맵으로 논리를 간소화한 뒤 회로를 구성해야 했다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.11.06
  • 테크플렉스 FPGA RTL 엔지니어 최종 합격 자기소개서(자소서)
    HDL로 작성한 하드웨어의 면적을 Vivado tool로 시뮬레이션하는 과정에서 목표치보다 면적이 크게 나오는 문제가 있었습니다. ... 직무 관련 경험 기술RTL 설계 엔지니어는 회로에 대한 이해를 바탕으로 목표 애플리케이션에 최적화된 설계 역량이 필요합니다. ... 회로 설계 역량과 소통 역량을 통해 고객이 만족할만한 디지털 회로를 설계하고 싶습니다.전공 수업을 통해 반도체, 특히 회로에 대해 흥미를 느꼈고 설계된 회로가 어떠한 과정을 거쳐 chip으로
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.17
  • 오픈엣지테크놀로지 NPU IP 개발 최종 합격 자기소개서(자소서)
    HDL로 작성한 하드웨어의 면적을 Vivado tool로 시뮬레이션하는 과정에서 목표치보다 면적이 크게 나오는 문제가 있었습니다. ... RTL 설계 엔지니어는 회로에 대한 이해를 바탕으로 목표 애플리케이션에 최적화된 설계 역량이 필요합니다. ... 회로 설계 역량과 소통 역량을 통해 고객이 만족할만한 디지털 회로를 설계하고 SoC 시장 점유율에 기여하고 싶습니다.전공 수업을 통해 반도체, 특히 회로에 대해 흥미를 느꼈고 설계
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.17
  • Verilog를 이용한 고성능의 16비트 adder를 설계
    마이크로프로세서 Adder 설계2000 년 0 월 00 일마이크로프로세서1. 설계목적Verilog HDL을 이용하여 고성능의 16비트 adder를 설계한다.2. ... 결과분석Verilog HDL waveform은 다음과 같다. ... 지연시간은 연산 path에 따라 다소 길어질 수 있으나 logic level이 절반으로 줄어들어 연산 path에 따라 유동적이긴 하나 지연시간을 감소시킬 수 있었다.실제로 Verilog HDL
    리포트 | 3페이지 | 1,500원 | 등록일 2020.04.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기 ... 용이하고 동작이 빠름.- Verilog HDL의 +/- 연산자를 이용하여 쉽게 모델링이 가능함.(5) Sequential logic 모델링- always 구문으로만 작성이 가능.- ... 계수기는 클럭펄스가 인가될 때마다 값을 증가/감소 시키는 회로이며, 주파수 분주기, 타이밍 제어신호 생성 등에 활용.- 동기식 계수기는 모든 플립플롭이 공통 클럭에 의하여 구동되어 설계
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 생활습관병의 한가지 주제를 정하여 운동프로그램을 설계하여 제시하시오.
    생활습관병(고지혈증)의 한가지 주제를 정하여 운동프로그램을 설계하여 제시하시오.1. ... 규칙적인 운동은 LDL 콜레스테롤 수치를 낮추고, HDL 콜레스테롤 수치를 높이는 데 기여한다. ... 규칙적인 운동은 혈중 콜레스테롤 수치를 낮추고, 고밀도 지단백(HDL) 콜레스테롤 수치를 높이는 데 기여한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2024.05.16
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대