• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(592)
  • 리포트(540)
  • 자기소개서(29)
  • 시험자료(14)
  • 방송통신대(5)
  • 논문(2)
  • 이력서(1)
  • ppt테마(1)

"hdl설계" 검색결과 121-140 / 592건

  • 한방간호학 PICO
    본 연구는 뜸 요법이 총 콜레스테롤과 중성지방에 미치는 효과를 파악하기 위하여 편의추출 대조군 전후설계를 이용한 유사실험연구이다. ... 주 1~2회로 2개월 동안 10회 실시하였다.실험처치 전과 후 혈액검사로 분석항목으로는 총 콜레스테롤, 중성지방, HDL, LDL이었다. 정도를 측정하였다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 임베디드시스템 정리
    HDL ... 전체 설계가 HW platform으로 mapping된다. ... simulation은 interpreted-code로 동작하는 방식과 compiled-code로 동작하는 방식이있다.interpreted-code로 동작하는 simulator는 HDL
    리포트 | 3페이지 | 2,500원 | 등록일 2021.01.04
  • 서울시립대학교 전전설2 9주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 LCD장치 제어를 실험한다. ... LCD를 위한 controller module을 설계한다.Behavioral level 모델링, Module instantiation을 이용한 Structural modeling방법 ... 출력하시오Main codeTest benchSimulation 결과Pin 연결(2) Lab 2Text LCD에 학번과 이름을 출력하되 길거리 광고판처럼 글자가 왼쪽으로 한 칸씩 슬라이딩되도록 설계하시오
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.20
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Conclusion- Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 설계 및 실험할 수 있다. ... 또한 Behavioral level 모델링, Module instantiation을 이용한 Structural modeling 방법 등을 실험하고, 설계한 로직을 시뮬레이션하기 위한
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • SK하이닉스 회로설계직 합격자소서
    검증의 역할 분담]디지털회로설계 과목에서 팀원 1명과 함께 verilog HDL을 활용하여 차량 번호 조회, 차량 위치 찾기 6개의 기능이 있는 '무인 주차관리 시스템'을 설계한 ... 팀원은 RTL code, 저는 검증을 위한 testbench 및 FPGA 보드의 시나리오 작성을 맡아 설계를 진행했습니다.검증은 두 단계로 진행했습니다. ... 2번의 수정을 거치며 최종적으로는 처음과 비교해 cell의 개수를 50% 줄일 수 있었습니다.이러한 경험을 바탕으로 정확한 기능을 수행할 뿐 아니라, PPA를 고려한 효율적인 회로설계
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.11
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 9주차 Lab09 예비 레포트 LCD Control 전자전기컴퓨터설계실험2,
    예상 결과본 실험은 Verilog HDL 언어를 사용하여 LCD를 제어하는 실험이다. 16 x 2 LCD module 배우고 어떻게 설계하는지 이해한 후 더 나아가가 응용과제까지 하게 ... 실험 방법실험1) Text LCD에 학번과 이름을 출력code실험2) Text LCD에 학번과 이름을 출력하되 길거리 광고판처럼 글자가 왼쪽으로 한 칸 씩 슬라이딩 되도록 설계설계조건code5 ... 참고 문헌전자전기컴퓨터설계실험2 교안PAGE \* MERGEFORMAT2
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.11.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험하고, Finite State Machine 등을 설계 실습한 뒤, 로직을 시뮬레이션하기 ... Conclusion- Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험할 수 있다. ... Moore MachineSource codeTestbenchPIN testbench 시뮬레이션 결과 설계한 Moore Machine의 동작을 확인하는 모습- 디자인 설명(Moore
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전전설2 Lab-07 예비리포트 (2020 최신)
    설계한다. ... 전자전기컴퓨터설계실험Ⅱ예비리포트Lab-07 Sequential Logic 2작성일: 20.11.011. ... 실험목적Finite State Machine의 두 종류인 Moore machine과 mealy machine의 차이점에 대해 학습하고 Verilog HDL을 이용해 moore machine을
    리포트 | 8페이지 | 1,500원 | 등록일 2021.09.10
  • 디지털시스템실험 2주차 결과보고서
    File > New를 통해 나타나는 창에서 Verilog HDL File 을 선택하여 파일을 생성하였다.4. 좌측의 Verilog 코드를 생성하였다.5. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목① FPGA 및 Verilog의 이해, Verilog를 통한 ... FPGA 프로그래밍 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.실험결과1.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • NAND게이트 자세히 설명하고 NAND게이트를 사용하는 이유 NAND 와 NOR 게이트로 회로를 구성하는 경우가 많은데 어떤 점 때문인지
    Ciletti, "Digital Design: With an Introduction to the Verilog HDL," 5th Edition, Prentice Hall, 2012. ... 이러한 특성은 회로 설계의 복잡성을 줄이고 최적화를 돕는다.2.2 간결성: NAND와 NOR 게이트를 사용하면 회로 설계가 더 간결해진다[5]. ... 제목: NAND 게이트와 NOR 게이트의 사용 이유와 중요성: 디지털 회로 설계에 있어서의 핵심적 역할요약: 이 레포트에서는 디지털 회로 설계에 있어서 NAND 게이트와 NOR 게이트의
    리포트 | 3페이지 | 2,500원 | 등록일 2023.04.05
  • 디시설, 디지털시스템설계 이론과제 8주차 인하대
    universal shift register의 4가지 방식 모두 select신호를 사용해 정상적으로 작동하는지 테스트했고 결과적으로 정상적으로 작동하는 것을 확인했다.디지털시스템설계 ... HW8ProblemVerilog HDL code using behavioral model and test bench to verify whether the module behaves ... Attach Verilog HDL code and simulation result (waveform) from Vivado tool.그림 3 : waveform(코드는 문제 a에 넣었습니다
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • 디지털설계방법의 종류
    방식 설계 사양 회로도 기술 회로도 엔트리 레이아웃 설계 공정 제조 마스크 발생 시물레이션 시물레이션 LVS, DRS, ERC 를 이용한 검증 VHDL, Verilog, HDL 등 ... 표준셀 설계방식 : 표준셀을 활용하는 설계방식임 . ... 디지털 설계 방법의 종류 ALLPPT.com _ Free PowerPoint Templates, Diagrams and Charts목차 완전 주문형 설계 방식 반 주문형 설계 방식
    리포트 | 12페이지 | 3,000원 | 등록일 2022.08.10
  • 디지털시스템설계실습 논리게이트 결과보고서
    새로운 프로젝트를 생성하고 위에서 간소화된 논리식을 HDL로 코딩하라. ... 디지털시스템 설계 실습 1주차 결과보고서학과전자공학과학년학번성명1.실습결과p119 1번, p121 1-2번1. ... 이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다.2.연습문제1번 다음 그림과 같은 회로에 대해 답하라.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.16
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Post-Lab Report- Title: Lab#03 Introduction to Verilog HDL담당 교수담당 조교실 험 일학 번이 름1. ... 뒤의 값보다 큰 경우 1, 아닌 경우 0을 출력하는 논리 부호이다. 1 > 2는 앞보다 뒤가 더 크므로 0을 출력하였다.(6) In-Lab 실습 1 ~ 5 과제들을 Verilog HDL ... 하여 내부적인 구조를 코딩을 하면서 설계를 해준 것과 동일하다고 판단이 된다.허나 이 설계를 bit operators를 활용해 설계를 하면 칩 내부적으로 어떠한 구조가 될 지 궁금하다.S
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • ring,jhonson counter 예비레포트
    $monitor, $display: 특정 값을 디스플레이 할 때 사용)(5) synthesis-합성은 HDL을 이용한 설계에서 가장 중요한 과정이다. ... -설계한 DUT를 검증하기 위한 목적으로 사용한다.-DUT를 내포하고 있으며 DUT의 입력 값을 생성하고, 출력 값을 관찰한다. ... 관련 이론(1) FPGA (field-programmable fate array)FPGA 는 설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 시립대 전전설2 Velilog 예비리포트 8주차
    Verilog HDL 실습 8주차예비리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험 ... , Piezo의 주파수에 따라 달라지는 음계를 설계해보고 이 둘을 동시에 작동하게 하는 설계를 하는 것을 목적으로 한다. ... 두 가지 과제를 설계해봄으로써 7-Segment와 Piezo의 사용법과 더 자세한 verilog code사용법을 배우는 데에도 실험의 목적이 있다.배경 이론7Segment숫자나 문자를
    리포트 | 13페이지 | 1,000원 | 등록일 2021.04.16
  • ROM&RAM 설계
    HDL및 실습-Signal/Variable, ROM&RAM 설계-목차———————————————Signal 과 Variable------------------------------- ... --p.4Shift RegisterSignal을 이용한 설계Variable를 이용한 설계새로운 변수 타입 지정(TYPE)-배열------------------p.7이론적 배경ROM ... 설계-----------------------------------------------------p.8이론적 배경VHDL를 이용한 코드출력 결과RAM 설계 -------------
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 전자전기컴퓨터설계실험2(전전설2) 계산기 프로젝트 팩토리얼 및 quiz mode 포함
    따라서 위와 같이 결과가 네 자리만 출력되도록 설계하였다.LCD DATA를 확인해보면 숫자에 관한 부분은 앞부분은 0011로 고정되고 뒷부분이 +1이 되거나 -1이 될 경우마다 숫자가
    리포트 | 35페이지 | 20,000원 | 등록일 2020.12.22
  • 시립대 전전설2 Velilog 결과리포트 7주차
    Verilog HDL 실습 8주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험 ... , Piezo의 주파수에 따라 달라지는 음계를 설계해보고 이 둘을 동시에 작동하게 하는 설계를 하는 것을 목적으로 한다. ... 처음으로 FND라는 것을 활용 해 보았는데 이번 실험을 통해 FND와 FND Array의 사용법을 익히게 되었고 Final Project를 설계할 때 어떤 방식으로 설계해야 하는지
    리포트 | 9페이지 | 2,000원 | 등록일 2021.12.11
  • [APP] 블록체인 데일리 건강 체크 화면설계서(기획서,스토리보드,기획서양식,스토리보드양식,템플릿)
    건강 체크 Application 화면설계서 문서 버전 V.0.1 문서 구분 건강 체크 Application 화면설계서 작성자 홍길동 확인자 최초 작성일 2022. 9. 11. ... Cholesterol LV.2 Glucose Data Upload Device Data-Time USER_ID TG TC 1234567 yyyy -mm- dd hh:mm defg123 80 80 HDL ... 29 홍길동 건강 체크 상세 화면 기획 History구분 SB 정의 내용 화면 기능 설명 우측의 Description 영역의 해당 번호에 설명이 있음을 의미 다음 페이지에 계속 화면설계
    ppt테마 | 33페이지 | 15,000원 | 등록일 2022.08.24
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대