• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 1,041-1,060 / 3,568건

  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    논리회로설계 실험 예비보고서 #5실험 5. 조합 회로 설계-비교기_MUX_ALU실험 목표비교기와 MUX, DEMUX 그리고 ALU의 작동에 대하여 이해한다. ... MUX와 유사하게 두개의 입력(A, B)을 받아 선택입력(S0, S1)의 조합에 따라 두개의 입력의 선택입력 조합에 해당하는 산술논리연산을 수행한다.실험 내용실험1. ... 중앙처리장치 내부의 회로 장치로, 독립적으로 데이터 처리를 수행하지 못하며 반드시 레지스터들과 조합하여 처리한다. 4비트 ALU를 예로 들어본다. 4비트 ALU의 구성도는 다음과 같다ALU는
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 실험9 PLC(글꼴 나눔글꼴)
    래더 다이어그램은 논리 AND연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.래더 다이어그램의 표현은 아래 그림과 같다.그림 출처 :기계공학실험교재편찬회, 기계공학응용실험 ... , 기계공학응용실험, 제3판, 청문각, 2016.4. ... 이는 논리항을 나열하고 전체 논리표현식으로부터 식들의 제거를 통해 이들을 그룹화하는 것이다.
    리포트 | 20페이지 | 1,000원 | 등록일 2018.12.20
  • 논리회로설계실험 기본게이트설계 예비보고서
    논리회로설계 실험 예비보고서 #1실험 1. ... 논리합을 구현한 디지털 논리 회로로 게이트의 입력을 A, B, 출력을 C라 하면 의 논리식을 구현한 것이다. ... docId=2835921&ref=y" AND 게이트와 반대로 부정 논리곱을 구현한 디지털 논리 회로로 NAND 게이트는 입력 모두가 참(1)일 때만 출력이 거짓 게이트와 반대로 부정
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 실험2. 플립플롭 및 시퀀스회로의 기초
    실험2. 플립플롭 및 시퀀스회로의 기초1. 개요시퀀스 회로의 기본 요소인 플립플롭의 동작을 익히고 간단한 시퀀스회롤 제작, 실험함으로써 디지털 시퀀스 회로의 기초를 습득한다.2. ... 관련이론플립플롭의 기본동작-논리조합회로와 시퀀스 회로, 플립플롭논리게이트들의 조합으로 이루어진 논리조합회로는 어떤 입력이 가해지면 그 출력이 유일하게 한 상태로 결정된다. ... 따라서 이들 비동기 입력단자들 중 하나가 active 상태가 되면 다른 모든 입력과 무관하게 출력이 즉각적으로 결정된다.비동기 입력을 갖는 플립플롭의 기호를 그림4에 보였다.
    리포트 | 8페이지 | 3,500원 | 등록일 2018.07.31
  • [A+] 연세대학교 원주캠퍼스 의공학부 기초실험(2) 5주차 REPORT
    과목명 : 기초실험(2)교수님 :조교 :실험제목 : 디지털 02 : NAND, NOR Gates 논리 회로 구성조 :이름 :학번 :Discussion본 실험의 목적은 NAND, NOR ... NAND Gate나 NOR Gate를 사용하면 필요한 게이트의 수가 줄어들고, 회로가 간편해지고 연산처리가 빨라진다는 장점이 있다는 것이다.세 번째 실험은, 앞서 실험회로보다 조금 ... 전자의 회로와 후자의 회로 역시 같은 Output을 나타냄을 확인 할 수 있었다.이번 실험을 마치면서 알게 된 점을 정리하자면, 다양하고 복잡한 Gate들이 사용되는 회로에서 NAND와
    리포트 | 5페이지 | 5,000원 | 등록일 2018.01.09 | 수정일 2018.01.11
  • 디지털실험 - 실험 2. 논리 게이트 예비
    실험 이론- 목 적1) AND, OR, NOT, NAND, NOR, EXOR, EXNOR의 논리함수 개념과 Gate의 구조 및 기능을 습득한다.2) 논리회로의 표현방식 및 등가회로를 ... (b)A=B=0Y=1A=5 B=0Y=0A=0 B=5Y=0A=5 B=5Y=1- 고찰이번 실험은 본격적으로 논리게이트를 이용해서 회로를 구성하는 실험으로서, 실험 1.디지털 논리소자 보다 ... 실험 방법1) SN7408로 회로를 결선하고, B=0, B=1, B=open 상태에 대하여 진리표를 작성하라.Vcc = +5V (pin 14), GND = 0V (pin 7)2) 4입력
    리포트 | 11페이지 | 1,500원 | 등록일 2017.04.02
  • 제어계측공학과 졸업작품 『블루투스 RC카』
    생각할 때, 매우 단순한 회로나 방식으로 할 수 있는 것이다. ... 개발 방법 (실험 방법)그림 3.1 ATmega128과 SRF-05 회로도3.3.1초음파 제어를 위한 실험 방법위 그림을 통해 ATmega128과 SRF-05를 연결하고 AVR Studio를 ... 될 때 사용되는 Address Latch Enable/PEN1저 전압 직렬 프로그래밍 모드에 대한 프로그래밍 Enable 핀ATmega128의 블록 다이어그램-ALU : 산술과 논리
    논문 | 24페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [예비레포트]
    Purpose of this Lab조합 논리 회로를 응용한 설계를 한다.플립플롭 회로를 이해하고 학습한다.데이터 전송 회로를 설계한다.직렬입력 및 병렬출력 회로를 설계한다.나. ... Essential Backgrounds (Required theory) for this Lab플립플롭 회로조합 논리 : 출력 결과가 입력으로 들어오는 값에 의해 정해짐.이전의 결과 ... 또는 입력 신호에 의하여 동작이 구성되기 위해서는 그 값을 저장해 줄 기억소자가 필요함.대부분의 디지털 시스템은 조합 논리 회로와 기억소자로 구성됨.그림 SEQ 그림 \* ARABIC
    리포트 | 16페이지 | 1,000원 | 등록일 2017.10.19
  • 디지털 직접회로
    그 중에서 가장 기초라 할 수 있는 디지털 논리회로의 AND 게이트와 OR 게이트의 회로를 구성해보고 어떤 결과 값을 보이는지 알아보았다. ... 실행한 4번의 실험이 모두 이론적인 결과와 같게 나옴을 확인하였다. 실험이 잘 진행됐음을 확인할 수 있었다. ... 게이트 회로도*AND-OR 게이트입력YABC*************01101010101010101114입력 OR 게이트*4입력 OR 게이트입력YABCD00000000111111110001011100001111001010110011001101001101010101011111111111111111분석
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.11 | 수정일 2017.10.27
  • A+ 디지털 시스템 실험 기본적인Arithmetic Circuit <4주차 예비보고서>
    여기서는 x,y가 서로 다른값을 나타낼 때 출력 값 1이 되는 xor 논리회로가 쓰였다. ... Select가 1이 입력일 때의 B값의 반전 값이 출력이 될 려면 서로 다른 값일 때 1이 출력이 되는 XOR 논리 회로를 사용하면 된다. ... 합 한 논리에 다시 합한 논리를 나타낸다.
    리포트 | 2페이지 | 1,000원 | 등록일 2017.07.05
  • [결과레포트] 기본 논리게이트 (AND, OR, NOT)
    실험제목기본 논리게이트 (AND, OR, NOT)2. ... 이번 실험에서 우리는 회로에 5V 흐르게 하여 입력에 흐르게 하고 출력으로 5V가 나오는지를 가지고 진리표가 우리가 조사한 AND, OR, NOT 게이트 진리표와 같은지 실험을 했다 ... 이 소자에는 AND 기본게이트가 4개 있다. 세트로 보면 1, 2, 3과 4, 5, 6과 8, 9, 10과 11, 12, 13이다. 우리 조는 1, 2, 3단자를 사용하였다.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.06.03
  • PLC 응용실험 보고서
    래더 다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.래더 다이어그램의 표현은 그림 1과 같다.래더 다이어그램을 이용한 간단한 예제를 들면 아래의 ... 이론적 배경실험에 앞서 PLC의 래더 다이어그램의 기본이 되는 디지털 논리체계의 이해를 위한 불대수와 기초연산자, 로직의 단순화 방법 등에 대해 살펴본다.(1) 불대수(Boolean ... algebra)불대수란 2진 변수와 논리하고 전체 논리표현식으로부터 식들의 제거를 통해 이들을 그룹화하는 것이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2019.06.16
  • 실험6-산술논리연산회로-예비레포트
    PAGEREF _Toc401081094 \h 6실험 목적산술논리연산회로에 대해 알아본다.산술논리연산회로를 구현하여 산술연산회로 동작을 확인해 본다.산술논리연산회로를 구현하여 논리연산회로 ... PAGEREF _Toc401081088 \h 4 Hyperlink \l "_Toc401081089" 3.3실험과정 3.1에서 구성한 회로의 출력을 BCD/7-세그먼트 디코더 드라이버 ... 산술논리연산회로목차 TOC \o "1-3" \h \z \u Hyperlink \l "_Toc401081084" 1실험 목적 PAGEREF _Toc401081084 \h 1 Hyperlink
    리포트 | 14페이지 | 1,000원 | 등록일 2017.03.07
  • 디지털실험 - 실험 2. 논리 게이트 결과
    결론은 논리 회로에서의 가장 기본이 되는 소자이기 때문에 모든 논리 회로를 구성할 수 있다고 생각한다.이번 실험에서는 IC회로를 이용하는 실험을 했다. 이번 실험값은 실험1. ... - 똑같은 인버터를 3번 지나기 때문에 2㎱*3 = 6㎱ 이다.3) 에서 (a)의 회로를 AND, NOT으로 구성하라.4) AND, NOT로 모든 논리회로를 구성할 수 있는가 답하고 ... *결과보고서*실험주제실험 2. 논리 게이트조13조1. 실험 결과실험 1) SN7408로 회로를 결선하고, B=0, B=1, B=open 상태에 대하여 진리표를 작성하라.
    리포트 | 4페이지 | 1,500원 | 등록일 2017.04.02
  • [아날로그및디지털회로설계실습A+] 4-bit Adder 회로 설계 결과 레포트 입니다
    아날로그 및 디지털 설계 실습10# 4-bit Adder결과 레포트1. 목적조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.2. ... 이와 같이 조합논리회로에 대해서 배울 수 있었다. ... 이론을 탄탄하게 공부하였고, 예비 보고서를 작성할 때 미리 회로를 잘 설계했던 것이 실제 실험에서 큰 도움이 되었던 것 같다.(2) 무엇을 느꼈는가?
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.06
  • 실험5. Decoder & Encoder 예비보고서
    학 과: 전자공학과제출일: 2017년 10월 16일과목명: 논리 회로 실험교수명: 이해영 교수님학 번: 201320767 201520735성 명: 김경수 김지승실험5. ... 74HC42 Decoder를 이용하여 아래와 같이 회로를구성하는데 74HC42 Decoder의 논리 심볼과 논리 다이아그램은 아래와 같다.SW1부터 SW4까지 차례대로 4비트 BCD코드의 ... 실험 이론1)Decoder위는 2x4의 디코더의 진리표, 블록도, 회로도이다.디코더는 n개의 입력을 정수로 나타냈을 때 그 정수값에 대응하는 유일한 출력들이 존재하는 것이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.07
  • 아주대학교 논리회로실험 실험1 Basic Gates 결과보고서
    논리회로의 배열에 따라 아주 다양한 논리연산이 가능하다는 것도 또한 알 수 있었다. ... them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험학 ... 그래도 이번 실험을 통해서 이론적으로만 생각했던 논리 게이트의 동작을 LED를 이용하여 직접 확인함으로서 보다 정확하게 이해할 수 있었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 실험 3. 가산기와 감산기(Adder & Subtractor)
    < 예비보고서 : 실험 3. ... 또한 이 두 방법을 이용하여 4-bit serial adder와 4-bit parallel adder를 각각 구성하시오.1) 4-bit parallel adder① 논리회로 설계② ... 및 시뮬레이션(4) 이론의 전감산기의 진리표를 참고하여 카노맵을 통해 부울 함수를 구하고 논리 회로를 구성하시오.B = X′Y+(X′Y′+XY)Z = X′Y+(X?
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습1 [결과레포트]
    1-bit Full Adder 진리표4-bits Ripple Carry Full Adder그림 SEQ 그림 \* ARABIC 14 4-bits Ripple Carry Full Adder논리회로 ... 기본적으로 AND gate 논리회로를 설계ematic Editor그림 SEQ 그림 \* ARABIC 8 Schematic Editor각 심볼의 연결그림 SEQ 그림 \* ARABIC ... 프로젝트프로젝트 생성부터 프로그래밍까지 AND gate 논리회로를 예시로 설명.AND gate 프로젝트 생성프로젝트를 만들고 프로젝트를 실행할 폴더를 생성Schematic을 선택아래의
    리포트 | 25페이지 | 1,000원 | 등록일 2017.10.19
  • 논리회로설계실습-FSM-예비보고서
    논리회로설계 실험 예비보고서 #9실험 9. 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다. ... 디바운싱 코드실험 내용실험 1. ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:53 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대