• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 1,101-1,120 / 3,568건

  • 시프트카운터
    4단 플립플롭을 사용한 존슨 카운터 실험회로를 결선하고 다음 순서에 따라 실험하라. ... 실험결과 (표, graph, 사진, 시뮬레이션 결과)(1)번 회로도와 시뮬레이션 결과(2)번 회로도와 시뮬레이션 결과ch 1은 Q4, ch 2는 Clock ch 1은 Q4, ch 2는 ... (나머지 과정은 (1)번과 동일)(응용실험) 난수 발생기 회로를 설계하고 결과를 확인하라.4.
    리포트 | 7페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    *예비보고서*실험주제실험 4. 엔코더와 디코더 회로조13조1. ... 회로의 인에이블(enable)입력이 존재한다면 반드시 정상적인 논리출력을 얻기 위해서는 인에이블 신호가 회로에 인가되어야 한다. ... 회로를 구성하여 7-segment LED를 구동 확인하고 0 ? 9숫자의 논리식을 표시하라.3) 세 번째 실험은 이번 실험에서 하지 않기 때문에, 보고서에서 제외시켰습니다.4.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 실험6. 래치와 플립플롭(Latch & Flip-Flop) 예비보고서
    실험 부품① 5V 전압원 (Power Supply)② IC : 74HC04(1EA),③ 그 외 : LED 다이오드(4EA), 330Ω저항(4EA)IC의 논리구조 및 진리표 (NOT ... 학 과: 전자공학과제출일: 2017년 10월 30일과목명: 논리 회로 실험교수명: 이해영 교수님학 번: 201320767 201520735성 명: 김경수 김지승실험6. ... 실험 과정 및 예상 결과[PART 1] R-S Latch with Enable위의 회로도와 같이 4개의 NAND Gate를 구성하고 출력부분에는 결과값을 알 수 있도록 LED를 달아준다
    리포트 | 11페이지 | 1,000원 | 등록일 2017.12.07
  • 기계공학응용실험 - PLC의 활용 결과보고서
    래더 다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로 분석이 용이하다.(2) PLC의 작동원리PLC는 입출력 장치 및 프로그램으로 기계나 프로세서 작업을 제어하는 ... -절연단판(nylon66), Wedge, slot 절연지(Poly Film), Vanish (DVB-2129), 희석제(DTB-7302)(4) 전기자 철심 (Core)계자와 함께 자기회로를 ... 기계공학응용실험- 실험 9. PLC의 활용 -“분 반 :조 :학 번 :이 름 :제출일자 :실험일자 :1. 실험목적 및 이론가.
    리포트 | 14페이지 | 1,000원 | 등록일 2018.04.11
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [예비레포트]
    Materials & Methods (실험장비및재료와실험방법) ‥‥‥9가. 실험을통해구하고자하는데이터와이를획득하기위한실험순서‥‥9나. ... Verilog keyword(일부)Verilog HDL 개요그림 SEQ 그림 \* ARABIC 3 Verilog HDL 개요Verilog HDL의 모듈그림 SEQ 그림 \* ARABIC 4 ... 후 출력 값이 이론적인 결과와 일치하는지 확인한다.Xilinx 프로그램에서 Verilog code를 통한 회로구현을 학습한다.나.
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [예비레포트]
    설계 : 반가산기 설계가산기 : 두 개 이상의 수를 입력하여 이들의 합을 출력하는 논리 회로반 가산기ABSC*************101반가산기 설계1. ... out : Co)을 출력시키는 논리회로, 반가산기의 입력에 자리 올림 입력 비트를 추가시킨 회로그림 SEQ 그림 \* ARABIC 5 전가산기전가산기 진리표는 아래와 같다.ABCINSCOUT0000000110010100110110010101011100111111전가산기 ... 통해, 4BIT감산기를 구현한다.위의 전감산기를 통하여 4BIT 감산기를 표현하면 아래와 같다.그림 SEQ 그림 \* ARABIC 11 4BIT 감산기 회로2.
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [결과레포트]
    Purpose of this Lab조합 논리 회로를 응용한 설계를 한다.플립플롭 회로를 이해하고 학습한다.데이터 전송 회로를 설계한다.직렬입력 및 병렬출력 회로를 설계한다.나. ... Essential Backgrounds (Required theory) for this Lab플립플롭 회로조합 논리 : 출력 결과가 입력으로 들어오는 값에 의해 정해짐.이전의 결과 ... SIPO 회로그림 SEQ 그림 \* ARABIC 15 4비트 SIPO 진리표4-bit Shift Register그림 SEQ 그림 \* ARABIC 16 Example of Waveforms그림
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 물리실험Ⅱ(이학전자실험) 결과보고서 555 Timer 1 : Monostable Circuit Not gate
    반대로 신호가 입력되지 않으면 입력된 것으로 출력된다.이번 실험에서 구성한 Not gate 논리 회로는 Schmitt Trigger과 같은데, 바로 Hysteresis 특성을 가지기 ... 때문이다.Schmitt Trigger는 2개의 논리 상태 중에서 어느 한 상태로 안정되는 회로이므로 쌍안정 멀티 바이브레이터의 변형된 형태라도고 할 수 있다. ... 결론이번 실험에서는 monostable circuit회로와 Not gate 회로실험하였다. monostable circuit은 항상 low 상태를 유지하다가 trigger 신호가
    리포트 | 12페이지 | 1,500원 | 등록일 2017.06.06
  • 전전컴실험III 제10주 Lab09 MOSFET2 Post
    CMOS Invertor는 논리값 1의 입력이 들어오면 논리값 0의 출력을 가지며 논리값 0의 입력이 들어오면 논리값 1의 출력을 가지는 회로이다. ... 회로의 동작속도를 결정하는 요소이다.하강시간인버터의 하강시간은 논리값 1이 입력되는 경우에 발생한다. ... 일반적으로 회로 설계자는 nMOS의 채널폭(Wn)을 조정하여 하강시간을 조정한다.상승시간인버터의 상승시간은 논리값 0이 입력되는 경우에 발생한다.
    리포트 | 10페이지 | 2,500원 | 등록일 2017.02.05 | 수정일 2017.03.26
  • [mahobife]디지털회로실험 인코더와 디코더 결과 보고서입니다.
    디지털 논리회로 설계와 실험-개정판. 파주: 성안당 ... 비교기 회로의 설계 능력 배양4. 인코더의 의미와 동작 이해5. 디코더의 의미와 동작 이해6. 인코더와 디코더의 응용 능력 배양Ⅱ. 데이터1. ... 비교기 회로10. 인코더와 디코더결과보고서조교님제출일학 과학 년학 번성 명Ⅰ. 목적1. 비교기의 의미와 특성 이해2. 비교기의 동작 원리 이해3.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • verilog 풀애더 멀티플렉서 보고서
    실습 이론FULL ADDER가산기는 덧셈 연산을 수행하는 논리 회로이자 조합 회로이다. 전자계산기가 발명될 당시에는 진공관에 의해 구성되었고, 현재는 집적 회로로 설계된다. ... 멀티플렉서는 여러 개의 입력선 중에서 하나를 선택하여 출력선에 연결하는 조합논리회로이고 selection signal 에 따라 입력을 선택하여 하나의 출력을 내보낸다. ... FPGA 보 고 서학 과학 년학 번조성 명전자공학과412131282김영호실험 제목FULL ADDER, MUX1.
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 전자회로실험 결과보고서3. MOSFET Logic
    실험을 통해 느낀 점 또는 통해 얻은 점디지털 논리회로는 BJT와 MOSFET의 두 가지 종류의 트랜지스터를 사용하여 구현할 수있다. ... 결과분석먼저 이번 실험에서는 MOSFET NAND회로와 NOR실험 두가지를 하였다.첫 번째 실험 NAND회로와 두 번째 실험 NOR 실험은 예비보고서에서 작성한것과 같이 비슷한 결과값이 ... Low일 때 2.2V, High일 때 4.096V라는 일정한 값이 나타났다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.05.01
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 결과보고서 8장 논리함수와 게이트
    아날로그 및 디지털 회로 설계 실습결과 보고서실습 8. 논리함수와 게이트조제출일작성자조원8-4. ... 4개중 번갈아가며 한 개에서만 출력이 1이 나오는 것을 알 수 있었다.처음에 저항을 연결하지 않는 작은 실수들을 했지만 지금까지의 실험회로설계가 가장 쉬웠고, 값도 명확하게 ... 실습계획서에서 작성했던 진리표 그대로 출력이 나왔기 때문에 매우 잘 된 실험이라고 생각한다. 1학년 2학기 기초논리시간에 이론으로만 배웠던 회로들을 직접 구현해보고 출력을 확인하며
    리포트 | 9페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 디지털실험 - 실험 8. CMOS – TTL interface 예비
    더구나 디지털 회로에서는 끊임없이 논리 ‘1’과 ‘0’ 사이의 상태 전환이 일어나기 때문에 스위칭 잡음 (switching noise)이 더해져 디지털 회로는 아날로그 회로에 비해서 ... 실험 방법1) 의 회로를 구성하고,V _{DD}(핀 14번)를 +10V로 연결하고, 입력값에 따른 출력을 살펴보고, 또한 +5V로 연결하여 살펴보아라.2) 의 회로를 구성하고, 실험 ... (R=1kΩ, 2.2kΩ, 4.7kΩ, 10kΩ, 470kΩ)4) 의 회로를 구성하여V _{DD}에 +5V를 연결하고,V _{SS}는 접지시킨 후 핀 3의 전(V _{IL})과 구동시키는
    리포트 | 14페이지 | 1,500원 | 등록일 2017.04.02
  • 실험 7. Shift Resistor 예비보고서
    학 과: 전자공학과제출일: 2017년 10월 30일과목명: 논리 회로 실험교수명: 이해영 교수님학 번: 201320767 201520735성 명: 김경수 김지승실험 7. ... 실험과정 및 예상결과실험1-6bit Shift Right Register위 회로처럼 J-K 플립플롭 6개와 2-input NAND Gate 1개로 구성된 회로를 74HC00 1개 74HC76 ... 신호에 따른 data의 이동1*************1100000110000011실험2-5bit Shift Right Register위 회로처럼 시프트 레지스터 소자인 74HC96
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.07
  • 디지털실험 - 실험 5. Multiplexer 가산-감산 예비
    실험 이론- 목 적1) 전가산기 구성을 위해 2개의 4입력 Multiplexer 사용을 익힌다.2) 2개의 4-입력 Multiplexer를 감산기로 사용하는 것을 익힌다.- 이론1) ... 합성도 가능하다.2) 멀티플렉서를 이용한 논리회로Y`=`A OPLUS B`=` {bar{A}} B`+`A {bar{B}}의 논리식을 ... 데이터통신 시스템에서 특정의 데이터를 선정하기 위하여 사용할 수도 있으며 다수의 RAM(Random Access Memory)이나 ROM(Read Only Memory)을 이용하여 논리회로
    리포트 | 8페이지 | 1,500원 | 등록일 2017.04.02
  • Experiment+22 실험 22. Flip-flop 회로
    전원이 공급되는 한, 상태의 변화를 위한 신호(클럭)가 발생할 때까지 현재의 상태를 유지하는 논리회로이다. ... = S = 1 일 때 출력이 금지 상태가 되는 이유를 고찰하라.flip-flop이란 간단하게 설명하면 1비트를 기억하는 논리회로이다. ... IC 칩을 이용하여 flip-flop 회로를 구성하고, 입력 전압에 따른 출력 전압을 측정하는 실험이었다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.09.10
  • 시프트 레지스터 결과
    시프트 레지스터실험목적4비트 시프트 레지스터의 논리회로를 구성하여 동작을 실험하고 결과 파형을 도출한다.시프트 제어 신호를 갖는 4비트 시프트 레지스터실험결과* 동작 타이밍도**** ... 이번 실험에서 실험한 것은 단순히 클럭 신호에 의해서 이동되는 단순한 시프트 레지스터가 아닌 시프트 제어 신호가 추가된 레지스터에 대해 실험하였다. ... 위의 실험 결과에서 만약 시프트 제어 신호가 없었다면 위의 출력 파형 타이밍도는 CK6에서 HIGH 값이 나타날 것이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2017.10.11 | 수정일 2017.10.27
  • 기본 논리 함수 및 gate와 가산기 예비 report
    · 적색 투명 LED(4개)4. 실험에 필요한 기본 지식· 논리회로논리회로는 로직 회로라고도 하며, 전자회로의 기본이 되는 회로이다. ... 수행하는 회로이다.AND회로와 같이 그림 4-4(A)의 실험을 하여 생각해보자. ... 실험 제목기본 논리 함수 및 gate와 가산기2. 실험 목적? 기본논리소자를 이용하여 조합논리 회로를 구성하고 기본논리 특성을 이해한다.?
    리포트 | 9페이지 | 2,000원 | 등록일 2016.06.26
  • [아날로그및디지털회로설계실습A+] 래치와 플립플롭 결과 레포트 입니다
    목적순차식 논리회로의 기본소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아본다.2. ... 이는 S와 R을 동시에 바꾸는 것은 거의 불가능하기 때문에 Q와 NOT Q가 동시에 (1,1)에서 (0,0)으로 바뀌지 않는다.(4) [그림 2]의 회로의 타이밍 차트를 그려라.5. ... 잘 되었다면 그 근거는 무엇이며 잘 안되었다면 그 이유는 무엇인지 기술하라.74LS00 하나만을 이용해 래치를 구성해서 회로가 조금 복잡하여 실험을 진행할 때 조금 헷갈리긴 하였지만
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대