• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 101-120 / 788건

  • 2. Op Amp의 특성측정방법 및 Integrator 설계 예비보고서 - [2021년도 전자회로설계실습 A+ 자료]
    1대Op Amp : LM741CN 3개Resistor : 51Ω, 1kΩ, 10kΩ, 100kΩ, 1MΩ, 5%, 1/2W 2개Capacitor : 0.47 uF, 4.7 uF 1개Variable ... A)에서 Rf가 추가된 적분기를 설계하고 Rf가 클 때, 적당할 때, 작을 때 나타나는 파형을 제출한다.Rf=7kΩ일 때(클 때)Rf=700Ω(적당할 때)Rf=7Ω(작을때)(B) input
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.05
  • [에리카A+] 마이크로프로세서응용 Lab6 Stopwatch Using Timer
    displayStopWatch();}return 0;}void setRegister(void){// PORT - 7_SEGDDRB = 0xFF;// selectDDRE = 0xFF;// input ... Compare Match A interrupt}else if(mode == STOP){mode = CLEAR;count = 0; sec = 0; min = 0; // clear variables
    리포트 | 16페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 경희대 경영학과 졸업시험 족보
    Short-term demand tends to be highly variable.B. Operations are more capital intensive.C. ... In many service organizations, such as hospitals and entertainment centers, customers themselves are inputs
    시험자료 | 20페이지 | 3,000원 | 등록일 2022.10.25
  • 자바(JAVA) 완전정복(1)
    토큰단위로 나누어 ┖ \b backspace┖ \t tab ┖ \r return ┖ \f form feed┖ 문자열 상수 : 이중 인용부호 사이에 표현 예) “Hello", "a"변수 (Variables ... Float.parseFloat(args[ ])√ parseint : 정수 값을 받는 메소드√ System.out.println(args[0]+"+"+args[1]+"=" +(x+y); 동일3단계Input ... 입력Scanner 클래스의 메소드들√ 예제 ④ Scanner 클래스 사용데이터를 입력 받는 예제 : InputExample.java( 출력 예시 )기타 사항데이터가 숫자인지 아닌지 알아내려면 Input
    시험자료 | 21페이지 | 3,000원 | 등록일 2020.10.22 | 수정일 2021.01.06
  • PLLT 2과 요약
    language at any stage is systematic in that the child is constantly forming hypotheses on the basis of the input ... perspective, the very heart of language-its communicative and pragmatic function-is being tackled in all its variability
    시험자료 | 2페이지 | 1,500원 | 등록일 2020.08.18
  • 아주대학교 기공실 예비 보고서(기초 계측 장치 활용 실습1)
    여기서 맨 처음 vertical input 단자에 가해진 입력 전압, 즉 신호는 vertical amplifier에서 증폭되어 음극관의 수직 편향판에 전달된다. ... TIME VARIABLE은 잠김 소리가 날 때 까지 시계방향으로 돌려놔야 한다.- 수직 POSITION을 조절하여 수평눈금 중앙에 파형을 일치시킨다.- 수평 POSITION을 돌려
    리포트 | 10페이지 | 1,000원 | 등록일 2022.04.06
  • 데이터 마이닝과 파이썬 정리 자료
    simpler syntax than other programming languages (no need to declare a separate data type before using a variable ... reserved words – python keyword∙ literal- a value written exactly as it’s meant to be interpreted- variable ... in the code on that lineData mining and Python Programing – 3weekProgram units∙ identifiers- name of variable
    시험자료 | 23페이지 | 2,000원 | 등록일 2022.03.16
  • 한국심리학회 심리학 용어(영단어) 7800개 정리본
    relation주제적 관계6statistical significance level통계적 유의도56white collar job사무직7mobile phone이동 전화57desire욕망8variable ... 이론가76climacteric갱년기27homosexuality동성애77role negotiation역할 협상28cortical unit피질 단위78moratorium모라토리엄29value judgement가치 판단79maternal linguistic inputs어머니의
    시험자료 | 1페이지 | 10,000원 | 등록일 2023.04.21 | 수정일 2023.09.13
  • (A+ 자료) C언어 구조체 자료
    printf(“Input the department number:”);??? ... s';선언한 변수에 값을 지정하기 위한 문법은 structure_variable.member_name 이다.
    시험자료 | 10페이지 | 2,000원 | 등록일 2023.01.11 | 수정일 2023.01.17
  • Basic tools for electronic measurement, system dynamics and time-frequency domain measurement , 진동실, 진동및동적시스템설계실습
    E(t).w _{n} = sqrt {{1} over {LC}},xi = {R} over {2 sqrt {L/C}}.In the experiment, AC is used as an input ... experiment, we found that the frequency is inversely proportional to the period, that the peak-to-peak is a variable
    리포트 | 18페이지 | 3,000원 | 등록일 2019.10.14
  • 데이터마이닝 분석기법 사례조사 - 의사결정나무와 딥러닝
    딥 러닝의 신경망은 입력층(Input Layer)과 은닉층(Hidden Layer), 출력층(Output Layer)으로 구성되어 있다. ... 즉 데이터의 확률분포를 학습하기 위한 두 개의 뉴럴네트워크로 구성되어 있다. encoder라고 불리는 뉴럴네트워크는 관측된 데이터 x를 받아서 미리 가정된 잠재변수(Latent Variable
    리포트 | 6페이지 | 1,000원 | 등록일 2019.11.30
  • 인공신경망과 시계열 분석을 이용한 해상교통량 예측
    해양환경안전학회 유상록, 김종수, 정중식, 정재용
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • SCAP 4일차 실습코드 정리 및 이론 요약
    따라서 number값에 따른 foot값이 변화되지 않고 word scanner에서 처리된 마지막 값만 (global symbol table의 값) 고정적으로 존재/* 1. input ... %if not (&macvar in &valuelist) %then ... ;5.3 Interative Processing%DO index-variable = start %TO stop
    시험자료 | 14페이지 | 1,500원 | 등록일 2020.05.30
  • 연세대학교,전력및제어공학실험, 제어실험 1주차
    -Save variable: Command ‘save filename variable1, variable2’ saves variable a, b to the work.var. ... -Delete variable: You can use a command ‘del’. ... Based on your learning, we first defined a vector variable t denoting the time range.
    리포트 | 16페이지 | 10,000원 | 등록일 2018.12.16
  • 연세대학교,전력및제어공학실험 ,제어프로젝트
    is used to calculate the error value as a collision between the measured process variable and the desired ... sum of net torque of cart and pendulum.Now, linearize above gain value is used to expand the overall variable ... That is, assuming that the input angle value is constant, it is assumed that the input angle value will
    리포트 | 12페이지 | 20,000원 | 등록일 2018.12.16
  • [아주대전자공학]반도체공학1matlab과제-도핑에따른그래프출력등
    Make use of the MATLAB input function to enter the input variables from the command window.clear all; ... clc;close all % MATLAB을 깨끗이 정리한다T = input('write the temperature T = ');ND = input('write the ND = ') ... atom ionization, construct a MATLAB program that computes n, p, and E _{F} -E _{i} given acceptable input
    리포트 | 4페이지 | 1,000원 | 등록일 2014.05.17
  • 장애물을 넘어갈때 PID 액티브 서스펜션 반응분석(Response analysis for active suspension of car passing though bump using PID controller)
    Object and method of control4.1 ParameterWe set variable such as table 1 for numerical simulation in ... The input value (the speed bump) was designed as the traffic laws provided and we expressed the bump ... Simulink diagram of Fig4 the result is the same as Fig7, Fig8, Fig9, Fig10.Because it considers the input
    논문 | 6페이지 | 4,000원 | 등록일 2022.03.14
  • 영어교육론 기본개념 정리
    Describing the English language (12)□ six of the variables which govern our choice□ discourse organisation ... issues in language learning (16)□ language exposure that children receive□ acquisition vs learning□ input ... 그는 second language 학습자는 input을 자주 접하거나 stand out할 때 성공적으로 학습이 가능하다고 말했다.focus on forms문법 중심1많은 language
    시험자료 | 25페이지 | 2,000원 | 등록일 2019.04.15
  • 근전도의 장비
    signal (output/input) Ex) output 1V, input 10 ㎶  1V/0.00001V=100,000 Sensitivity Ratio of the input ... onset latency 상으로 증폭됨을 뜻함 .Filter Variable resistor( 저항기 ) 와 capacitor( 축전기 ) 가 적절하게 연결되어 특정한 frequency ... voltage to the size of a CRT deflection (cm) Ex) 10 ㎶ /division : input signal 10 ㎶ = 1cm or vise versaCMAP
    리포트 | 28페이지 | 2,000원 | 등록일 2018.10.30
  • 시상 (Thalamus) 해부, 구조, 기능
    MGN , posterior parts of CM, pulvinar Variable sensory loss, weakness, aphasia, memory impairment, dystonia ... Receive specific inputs from sub- cortical pathways Reciprocally coemory 와 관련 Anterior group / Relay ... (blue) an array of large numbers of regulatory inputs (purple ) Functional classification 11Relay nuclei
    리포트 | 48페이지 | 4,000원 | 등록일 2018.10.31
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:44 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기