• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 41-60 / 788건

  • [연세대학교 물리학과 물리학실험(A-1)] 10번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    components.The shunt regulator works by providing a path from the supply voltage to ground through a variable ... We defined the input voltage and the input frequency of 10V and 100Hz, respectively. ... Here’s the result of the square wave input.< Default Input Setting on the Function Generator >< Output
    리포트 | 12페이지 | 5,000원 | 등록일 2019.07.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    (Bit operator 사용)- 1-bit 반가산기 모델링 예 (Gate primitive 사용)- 1-bit 반가산기 모델링 예 (Behavioral modeling 사용)- Variable ... Result(1) [실습 1] bit operators를 이용하여 2-input AND 게이트 설계Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 ... 결과: 입력은 A(Button SW1), B(Button SW2) / 출력은 X(LED1)ABX000010100111(2) [실습 2] Gate Primitive를 이용하여 2-input
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • [데이터 분석/ 요약/ R] R언어 기초문법 정리
    변수(Variable)- 실제 데이터를 저장할 수 있는 컴퓨터 메모리 공간, 데이터 값을 저장하는 공간- 변수 ... 1) input (입력 데이터 제공): ex) scan(), readline()2) process (데이터 처리): ex) readLines(), read.table(), read.csv
    리포트 | 4페이지 | 1,000원 | 등록일 2020.03.10 | 수정일 2020.08.08
  • 다단 액위 제어 실험 예비레포트
    공정출력인 수조의 높이에 영향을 주기까지는 오랜 시간이 걸리므로 이때 걸리는 시간이 Input Time Delay인 것이다.3. ... ), or Manipulated Variable)으로 넣어주는 제어기법을 말한다.② Feedforward ControlFeedforward Control이란 공정(Process)의 ... 그리고 공정출력을 측정하는데 있어서 시간이 어느 정도 걸릴 경우에 측정에 Time Delay가 있다고 말한다.아래공정의 경우 Input Time Delay의 예로서 밸브의 열고 닫음이
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.11
  • 디지털 온도계 만들기
    );// By using the temperature sensor as input to display temperature on LCDpinMode(TempSense, INPUT); ... PAGEREF _Toc68186276 \h - 14 -Introduction :Temperature and humidity are the two most basic of weather variables ... LCD will be used with those respective pinsLiquidCrystal lcd(7, 6, 5, 4, 3, 2);// Set up OUTPUT and INPUT
    리포트 | 14페이지 | 1,500원 | 등록일 2021.04.08
  • 딥러닝 심층강화학습 조사 레포트
    [인공신경망]위 사진은 인공신경망의 대강적인 형태이며 각 Input layer에는 현재의 상황에 대한 입력이 들어서게 되는데 알파고의 바둑을 예로 들게 된다면 아마 현재의 바둑판의 ... 바둑판은 19*19칸으로 이루어져 있으며 그 값은 각각 칸이 비어있느냐 흰색 돌이 존재하느냐 검은 돌이 존재하느냐 3가지 경우로 나뉘어질 테니 19*19개의 Input layer에 ... 사무엘에 의헤 ‘기계가 일일이 코드로 명시하지 않은 동작을 데이터로부터 학습하여 실행할 수 있도록 하는 알고리즘’이라고 정의 되었다.예를 들어, 평범한 코드의 경우는 현재 상황변수(Variable
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.19
  • [한양대] 계측공학 2번째 과제(체그 및 교수님 풀이 참고한 만점 레포트)
    tolerance값은 1.239이다.3.22 Consider the equation:Determine the maximum uncertainty in y for uncertainty in the variable ... 대한 추세선은 이고 decreasing input에 대한 추세선은 이며 두 출력값을 평균 내어 합친 추세선은 이다.3.33 The force-deflection data for a ... The accompanying table lists the results.Plot output versus input.Calculate the best straight-line fit
    리포트 | 9페이지 | 2,500원 | 등록일 2024.06.10
  • 도요타 SCM
    ( 변동성 ) 계획 수립 시 예측자료 공유를 통해 예측이 가능 Visibility( 가시성 ) 계획 수립 단계부터 실제 운영까지 지속적인 모니터링Input Output2 Cycle ... Variability( 변동성 ) 주문의 변동이 생기더라도 이를 공장 차원에서 평준화 생산으로 극복한다 . ... Velocity( 속도 ) 부품 흐름의 속도는 조립라인과 동기화 Variability( 변동성 ) 사전 협의를 통해 안정화 , JIT 적용하고 일별 주문량 변동에 제한을 두어 관리
    리포트 | 60페이지 | 3,500원 | 등록일 2022.03.19 | 수정일 2024.05.22
  • 생산 및 운영관리 중간고사 요점정리
    Strategies for Managing Customer Introdueced Variability5. 서비스 프로세스가. ... Managing Customer: Variability운영의 어려움을 야기하는5가지 유형의 변동성 정의① 도착 변동성② 요구 변동성③ 역량 변동성④ 노력 변동성⑤ 주관적 선호도 변동성4가지 ... 프로세스 분석 : 투입물(input)이 산출물(output)로 변환되기까지 일어나는 복잡한 과정을 개별적인 요소나 성질로나누어 보는 작업나.
    시험자료 | 6페이지 | 1,000원 | 등록일 2023.10.05
  • Case 9.3 Steps to success linear programming(LP) method 변형 풀이법
    yM +1- xMyQ >= yN +3- xNyQ >= yO +3.5- xOyFIN >= yP + 4.5- xP, yFIN >=yQ + 4 – xQall xj, yj >= 0MPL Input ... ++++++++Variable Value Reduced CostXA 1.000000 0.000000XB 1.000000 0.000000XD 1.000000 0.000000XE 1.000000 ... 1.5,1,0,0,1,0,2,1,1.5,0,0.5,0,0.5,1.5,2,2.5,2.5);CrashCost[Job]:= (4000,3500,0,0,45000,0,17500,7000,12667,0,6000,0,6600,4667,4000,23600,4400);VARIABLES
    리포트 | 7페이지 | 2,500원 | 등록일 2021.05.27
  • 홍익대학교 전자회로2 Term project OPAMP 설계
    트랜지스터의I _{D}값이 맞아야 함.○ fig. 22의 표에서g _{m6}의 값만 다르게 구해서 표시했는데, 이는W/L의 비를 직접 계산으로 구했으며, 이에 따라W/L이 변수, 즉, variable이 ... 네 번째 조건 Input offset voltage < 1mV 만족 여부 확인CDOTS 12p? ... 네 번째 조건 Input offset voltage < 1mV구해 본 결과 약 180degree-202.355degree = -22.355degree로 조 건에 부합하지 않았음.
    리포트 | 17페이지 | 6,000원 | 등록일 2023.09.04
  • 서강대학교 21년도 디지털논리회로실험 7주차 결과레포트 (A+자료) - Counter, State Machine, State Diagram
    그것으로 excitation table을 만든 후, 다음 state variable에 대한 karnaugh map을 작성하여 다음 state를 현재 state와 input에 대한 equation으로 ... 이 때 R1 R2 R3 loop를 제거하고 구현하려면 아마 Mealy machine으로 바꿔야 할 것 같다. input이 output에 영향을 주게끔 바꾸어야 state의 숫자를 줄이고도 ... state minimization을 이용해 필요한 state의 수를 최소화해야 한다.그 후 state의 개수에 따라 flip-flop의 개수를 결정하고, 각 state에 state variable
    리포트 | 28페이지 | 2,000원 | 등록일 2022.09.18
  • 서울시립대 전전설2 Lab-04 결과리포트 (2020 최신)
    input signed’를 사용하여 a와 b를 선언해주면 프로그램 자체가 부호를 가진 두 수를 쉽게 비교할 수 있다.- 테스트벤치 파일 및 시뮬레이션 결과a = b = 0000으로 ... Variable 자료형은 값을 임시로 저장하는 자료형으로 대표적으로 reg가 있다. variable이 음의 값을 할당받는 경우도 있는데 이 경우 앞에 부호를 유지한다는 의미의 ‘signed ... Variable 중에는 상수값을 지정하는 자료형인 parameter도 있다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 영어교수법 -방통대 1학기 기말 과제 (영문학과)
    (The Monitor Hypothesis):학습은 ‘감시자’ 역할을 할 수 있어서 학습자 본인 혹은 타인의 발화 오류를 수정해줄 수 있다고 하는 것이다.(4) 입력 가설(The Input ... 덩어리로 묶는다.(2) 중복성 또는 잉여성(redundancy):잉여적인 특성이다.(3) 축약형(reduced forms):축약의 특성이다.(4) 수행 변인(performance variables
    방송통신대 | 4페이지 | 5,000원 | 등록일 2021.05.08
  • 전자전기컴퓨터설계실험3 - 결과레포트 - 실험05- OP AMP(Instrumentation Amplifier Circuit) (A+)
    (나) High Input ResistanceInstrumentation Amplifier를 사용했을 때 높은 입력 저항을 가지는 장점이 있다. ... (다) Variable Resistor 100K : 1ea.(라) OP Amp STMicroelectronics(ua741) : 3ea. ... 하지만 그림 7과 같이 일정 전압 이상으로는 커지지 않았다.그림 SEQ 그림 \* ARABIC 7 – Result of Low Variable Resistor실험 결과를 Simulation과
    리포트 | 12페이지 | 2,000원 | 등록일 2020.11.26 | 수정일 2020.11.29
  • [예비보고서] Op Amp의 특성측정 방법 및 Integrator 설계
    3개Resistor : 51Ω, 100 Ω, 1k Ω, 10k Ω, 100k Ω, 1M Ω, 5%, 1/2 W : 2개Capacitor : 0.47 uF, 4.7 uF, 100 uF : 1개Variable ... 즉, op amp의 non-inverting input 단자에 offset voltage가 인가된다고 생각할 수 있고, Non-Inverting Amplifier의 이득에 대한 수식을 ... 따라서, 을 대입하여 정리하면 이므로, 앞서 Op Amp의 Non-Inverting Input 단자에 직접 인가한 Offset voltage인 20 mV와 거의 일치함을 알 수 있다
    리포트 | 8페이지 | 1,000원 | 등록일 2022.06.30
  • [전자회로설계실습]실습2(Op Amp의 특성 측정 방법 및 Integrator 설계)-예비보고서
    LM741CN: 3개Resistor: 51Ω, 100Ω, 1kΩ, 10kΩ, 100kΩ, 1MΩ, 5%, 1/2W: 2개Capacitor: 0.47μF, 4.7μF, 100μF: 1개Variable ... Graph SEQ Graph \* ARABIC 5 Input pulse의 크기 2V, 주파수 250Hz, R==1kΩ, C=0.47μF로 설계하였을 때 입출력파형이론상 이다위 식에 ... 바와 같이 증폭이 제대로 이루어지지 않는 모습이고, =100kΩ 이상에서는 offset voltage에 의한 문제가 해결되지 않고 있다. =1kΩ일 때 가장 적당한 파형을 보여준다.Input
    리포트 | 8페이지 | 1,000원 | 등록일 2022.04.11
  • 회사 피티 면접 발표 때 사용한 자료
    Filter out variable CNV genes in reference groups by standard deviations InferCNV is used to explore ... each single patient's gene-expression data using only a list of signature genes and a test dataset.Input
    자기소개서 | 30페이지 | 3,000원 | 등록일 2021.02.02
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    직렬입력 / 병렬출력 레지스터- SIPO(Serial Input Parallel Output) Register- 직렬 데이터의 입력을 받아 병렬의 데이터를 출력하는 기능을 함.- N비트의 ... blocking and nonblocking assignments in the same always block.- Do not make assignments to the same variables
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 통신공학실습 결과레포트 - 실험07_ Balanced Modulator
    (나) “Lab 2”를 위한 실험 순서 및 측정 방법가변저항을 돌려 Modulation Input의 평형을 맞추지 못할 경우 출력 파형을 측정한다.나. ... 가변저항을 돌려 출력파형을 Oscilloscope로 측정하였고, 결과는 그림 7과 같다.그림 SEQ 그림 \* ARABIC 7 – Balanced Modulator Result(Variable ... Results of Lab 2.(1) 실험과정의 결과를 표로 기록하시오.그림 6과 그림 7에서 전압차와, dB값의 차이를 표로 정리하면 다음과 같다.Variable Resistor최소360mV2dB평형0V52dB최대160mV8.8dB표
    리포트 | 13페이지 | 2,000원 | 등록일 2021.04.04
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:32 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기