• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 241-260 / 788건

  • 연세대 응용실험 레포트 예비1
    voltage so the capacitor which in input is larger than the output's.A. variable output voltage0. ... is 12V��variable resigter : 4.16kヘ/8.83kヘ��Simulation graph3��output is 15V��variable resigter : 3.33k ... Expectation0. fixed output voltagePspice schematicSimulation graph1. variable output voltageusing variable
    리포트 | 8페이지 | 1,000원 | 등록일 2010.09.13
  • 전기전자응용실험_1주차 예비 보고서
    Variable Outpu ... In other words, to produce the output voltage level of 5V, the input voltage must be at least 7V. ... From figure 1, we can verify that if the input voltage is lower than 6.6V(approximately 7V), it doesn
    리포트 | 7페이지 | 1,500원 | 등록일 2011.07.09
  • 데이터마이닝2(4장)
    컴퓨터공학부 2004185029 김성태데이터마이닝 레포트4.6 분석사례 - 3: 신용평점표의 작성4.6.1 이산화 (구간화) : Interactive Grouping 노드Input ... Coarse Detail 탭이산화가 완료된 Coarse Detail 탭Insight 노드의 결과 보기Insight 노드의 Results 윈도우Data Set Attribute 노드의 설정Variables
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.08
  • 경제학원론 - 제 5장 기업과 생산기술
    기업의 선택행위 기업의 목표가 이윤극대화라는 가정 이윤(profit) = 수입(revenue) - 비용(cost)생산기술생산요소와 고려되는 기간 가변투입요소 (variable input ... ) 고정투입요소 (fixed input) 단기 (short-run) 고정투입요소 존재 장기 (long-run) 고정투입요소 부재생산기술생산기술과 생산함수 생산함수 (production
    리포트 | 21페이지 | 2,000원 | 등록일 2013.03.08
  • 화공_매틀랩_시뮬링크_(Matlab_simulink) 발표 PPT
    ‘format string’, list of variables)Mathematics 입 / 출력 ( Input/Output )Mathematics 그래픽 (Graphics) figure ... /Output ) A = load(‘filename’) load('d.txt')Mathematics 입 / 출력 ( Input/Output ) fprintf (‘filename’, ...  ( ) 2 지수승 , 좌  우 3 곱셈 , 나눗셈 좌  우 4 덧셈 , 뺄셈 좌  우Mathematics 연산자 (operator)Mathematics 입 / 출력 ( Input
    리포트 | 34페이지 | 1,500원 | 등록일 2011.06.01
  • 데이터마이닝5장숙제
    $A$2:$AL$1437 #records in input data 1436 Method of categorization Dummy Selected variables Fuel_Type ... Model Input Variables Coefficient Constant Term 87.6819 US -18.590988 Freq 88.328064 last_update_days_ago ... XLMiner : Dummy Categorical Variables Data Data source data!
    리포트 | 11페이지 | 2,000원 | 등록일 2012.06.27
  • sas 초급용
    namesexagebabieM38mimiF.johnM25tomM403 observations 4 variables3 observations 3 variables4 observations ... ****** sas test example **************************************************how many observations and variables ... input part1 $10. part2 $10.
    시험자료 | 9페이지 | 1,500원 | 등록일 2011.08.26
  • 카르노 맵의 이해
    카르노 맵 간소화(3,4,5,6 input variables)목차서론1본론-3input ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 2-4input ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 3-5input ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ ... *시뮬레이션 결과(Verilogger)추가 분량(설명 생략)3input*Logic Table * ? ... *Logic Equation*Logic Diagram*시뮬레이션 결과(Verilogger)6input*Logic Table *?
    리포트 | 20페이지 | 2,000원 | 등록일 2013.04.07
  • Oscilloscope
    압력(vertical input 또는 'V INPUT')하는 것이다. ... Oscilloscope에 따라 External trigger Input이 External Horizontal Input으로 기능하는 것도 있고 그렇지 않고 독립적인 InpOLTS/DIV에서 ... 따라서 실제 sweeptime의 값은 TIME/DIV에 나타나는 값의 1/10이 된다.* (12), (13) VARIABLE 손잡이: TIME/DIV의 값을 연속적으로 변하게 할 수
    리포트 | 13페이지 | 1,000원 | 등록일 2011.10.15
  • 공정제어 설계 보고서
    단 phase delay는 존재하지 않는다.Input variable이 ramp형태로 들어갈 때,Ramp형 input에 따른 결과는 다음과 같은 그래프로 도시된다.이 때, 다른 그래프들과는 ... 문제에서 첫 번째로 다루었던 step에 대한 그래프Input variable이 pulse 형태로 들어갈 때,그에 따른 그래프는 다음과 같이 나타난다.이 때, pulse의 진폭은 20 ... (각 전달함수에 대한 값 ()를 구하는 과정을 q2.m파일로 제공)(시험 문제를 풀었으므로 총괄적인 유도 과정은 생략)Tank1Tank2Deviation Variable 과정을 거친
    리포트 | 11페이지 | 2,000원 | 등록일 2010.11.30
  • 시스템 모델링 및 해석 - 뱀 로봇 (본드그래프, 매틀랩, 20-Sim)
    Step to Se 1 Input Step to Se 29 Thanks{nameOfApplication=Show} ... system Electrical system Hydraulic system CHOICE OF SUBJECTBPROCESS GOAL Process - 복잡한 시스템을 단순화 - System variable ... EQUATIONSTATE EQUATIONSIMULATION (MATLAB)SIMULATION (MATLAB)SIMULATION (20-Sim)SIMULATION (20-Sim) Input
    리포트 | 21페이지 | 1,500원 | 등록일 2012.11.21
  • 경영학원론 - 기업의 생산과 활동
    5.2 생산기술 - 생산요소 생산요소 ( 투입요소 ) 가변투입요소 (variable input) 고정투입요소 (fixed input) 어 떤 물품 의 생산이 늘어날 때 그 투입량도 ... 가지 생산비용의 개념 생산비용 총비용 (Total Cost) 한계비용 (Marginal Cost) 평균비용 (Average Cost) 고정비용 (Fixed Cost) 가변비용 (Variable
    리포트 | 21페이지 | 3,000원 | 등록일 2013.11.24
  • 통신실험 결과보고서(Unit9)
    What are the two most commonly used compression laws, what value of the characteristic variable is used ... Return the INPUT COUPLING switches to the DC position.Oscilloscope의 zero point 조정14. ... Place the Channel 1 and Channel 2 INPUT COUPLING switches in the GND(ground) position.
    리포트 | 30페이지 | 2,500원 | 등록일 2013.12.19
  • Sequential-Logic-Design-Ⅱ-FSM and Clocked-Counter
    또 이를 넘어서서 4-bit up counter, 더 나아가 8-bit up/down counter, 더 복잡한 연산을 포함하는 moore state machitate Variable ... Simulation 조건에 맞도록 다음과 같이 수정한다.ISE 시뮬레이션 프로그램인 Isim을 이용하여 시뮬어 Source를 작성해 본 후 실험결과를 확인해 본다.Parallel Input
    리포트 | 25페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • [기초 실험 예비 보고서] 디지털 온도계 실험 보고서
    선택한다.③ [VPS+]와 [Ground] 소켓을 각각 장치의 DMM voltage inputs에 배선하여 연결한다.④ DMM[V]를 선택한다.⑤ 수동으로 장치의 VPS 조절장치를 ... 실험 목적실험을 통해 NI ELVIS의 가변 전원 공급장치(VPS,variable power supply)에 대해 이해한다. ... 기초 프로그램은 NI ELVIS 사용자 메뉴얼의 Figure 4-1에 나와있는 Simple Variable Power Supply Application을 변형한 것이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2012.11.06 | 수정일 2014.01.12
  • 연세대 응용실험 레포트 결과1
    We use variable resistor. so we made variable output voltage 7.94V, 12.63V, 15.25V. ... ォ) variable resigter : 0.53kヘ/9.45kヘァ) experiment is succeeded and the output of variable resigter : ... Machine operates by input signal. And that input signal is output voltage of sensor.
    리포트 | 7페이지 | 1,000원 | 등록일 2010.09.13
  • 생태공학 및 생태복원 기말
    inputs.? ... have some homeostatic capability that results in smoothing out and depressing the effects of strongly variable ... Ecosystems are dependent on a steady input of energy from outside.
    시험자료 | 6페이지 | 2,500원 | 등록일 2014.08.18
  • 경제학 원론 윤영상 교수님 summary 해석 과제
    be the same for any two consumers; (2) the marginal rate of technical substitution between any two inputs ... However, if market price is less than the firm's average variable costs at all level of output, the firm ... firm's short-run supply curve is the same as its marginal cost curve, as long as price exceeds average variable
    리포트 | 26페이지 | 5,000원 | 등록일 2014.04.22
  • 결과1
    We use uA741(OPAMP) and variable resistor. ... Following figure is the schematic of this experiment.2) Regulator with variable output voltageIn this ... And then, we can obtain 5.05V output voltage through inputting from 6V to 20V input voltage.
    리포트 | 8페이지 | 1,500원 | 등록일 2011.06.01
  • How Languages Are Learned? - Learner Characteristics
    ConclusionSecond Language Learning ABC Learning Conditions Silent Period Ample Time Corrective Feedback Modified Input ... Intelligence Music Interpersonal relations athleticsIt is not possible to directly observe and measure variables
    리포트 | 7페이지 | 1,000원 | 등록일 2012.01.31
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대