• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 221-240 / 788건

  • audio amplifier circuit design(예비)
    If the input signal is supplied, it supplies the proper voltage by setting the both of variable resistors ... 전환 input과 비전환 input으로 구성되어있다. ... =power supply에서 공급된 전압= 가변저항에 흐르는 전류가변저항2) Variable resistor in fig 6-17Variable resistor is used to
    리포트 | 12페이지 | 1,000원 | 등록일 2011.07.09
  • 9조 pre 6주 diode 심화
    회로동작에 활용하는 정전압 회로등에 사용됨(입력전압이 변화하여도 제너 다이오드 양단의 출력 전압은 일정함 단 입력 전압에 비례하여 전류는 변동함)배리스터 다이오드(Voltage Variable ... 그래서 ( Input V – 0.65V )이고Output V = 3.2835V가 나오게 된다. ... (reverse biased 일 때) 0.7의 전압강하 없이 diode가 short 되어서, D1 & D4 를 거쳐Input V 그대로 출력 되는 것을 알 수 있다.Constant
    리포트 | 8페이지 | 3,000원 | 등록일 2014.03.06
  • 오실로스코프 작동법
    오실로스코프의 전원을 넣기 전에 GNP 상태로, 수평방향, 수직방행의 VARIABLE 다이얼을 CAL위치로 (시계방향으로 끝까지) 돌린다.2. ... 프로브(probe)를 10:1로 하고 CH-1의 INPUT단자에 연결하고 프로브의 끝을 CAL 0.5Vp-p (또는 1Vp-p) 출력단자에 연결한 후 Ac-gnd-dc스위치를 DC에
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.10
  • 트랜스미션의 원리
    type.This type moves gear itself to axis when the gear change.The power from engine through the clutch, and input ... transmission- Sliding mesh type- Constant mesh type- Synchromesh typeAutomatic transmissionCVT(Continuously Variable ... transmission- Clutch Plate..PAGE:13Automatic transmission- Planetary Gear..PAGE:14CVT(Continuously Variable
    리포트 | 15페이지 | 4,000원 | 등록일 2014.10.12
  • 전자과실습 - 라인트레이서
    hereunsigned short k=0; unsigned char TEMP=0, sensor=0, prev_data=0; /* 변수설정 */ // Input/Output Ports ... 0x05 #define S110 0x06#define S111 0x07#define ON 0 #define OFF 1int main(void){// Declare your local variables
    리포트 | 13페이지 | 5,000원 | 등록일 2017.01.04
  • VHDL을 이용한 산술연산회로설계
    Carry는 없이 Variable tmp_out을 8비트 지정해 주었다. ■ 로 한번 더 구분 S(5) = 1 일 때, S(4) ~ S(3) 값으로 한번 더 구분 지어준 모습이다. ... Boost rst에 1로 줘서 변수들을 초기화 시킨다음, 곱셈에 사용될 변수들을 input에 지정해 주었다. 그런 다음 load에 1을 주고 연산을 시작하였다. ... 위에서 말했듯이 mplicand와 mplier_up은 input인 승수와 피승수로, 값들을 buf에 저장해준 모습이다. mplier는 output값인데, buf에 지정해주고, 한 변수가
    리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • 교육행정및경영 공통 : 대표적인 교육행정학 이론이라고 할 수 있는 ‘과학적 관리론’, ‘인간관계론’, ‘체제이론’ 등 세 가지 이론 각각의 주요 내용과 특성을 설명하고, 각 이론이 교육행정에 어떻게 적용될 수 있는지 및 어떤 시사점을 제공하는지를 설명하시오
    체제는 체제의 구조와 기능에 영향을 주는 요인을 가지고 있는데, 체제 내의 요인을 변인 (variables)이라 하며, 체제 외의 환경에 있는 요인을 매개변수 (parameters) ... 이를 발전적 단순화 (progressive mechanization)라고 한다.(3) 체제 이론의 기본 모형투입 → 과정(상호작용하는 요소들) → 산출 → 환경① 투입 (input)
    방송통신대 | 9페이지 | 3,500원 | 등록일 2015.09.30
  • 9조 pre 5주 diode
    회로동작에 활용하는 정전압 회로등에 사용됨(입력전압이 변화하여도 제너 다이오드 양단의 출력 전압은 일정함 단 입력 전압에 비례하여 전류는 변동함)배리스터 다이오드(Voltage Variable ... (Input V=-10V)일 때는 다이오드가 open이 된다. 그래서 입력전압과 같게 된다. ... (Input V=10V)일 때는 자연스럽게 다이오드가 short가 되어서 전류가 흐르게 된다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.03.06
  • Objective C 기초
    Objective C에서는 모든 object variable은 pointer variable이다. id type은 이미 pointer로 정의되어 있기 때문에, *가 붙지 않음에 주의하자.접근자란 ... {if (input ! ... {if (input !
    리포트 | 11페이지 | 2,000원 | 등록일 2011.07.03
  • 결과5
    It is connected with the variable resistor, so we just adjusted the variable resistor.2.2 SpeakerAfter ... And we checked the sound from the speaker changing the variable resistor or sensor.3. ... Also, when input voltage increase, Amplitude is not change.
    리포트 | 5페이지 | 1,500원 | 등록일 2011.06.01
  • 통신실험 결과보고서(Unit5,Unit6)
    A variable frequency oscillator.c. A tuned amplifier.d. A tuned filter.2. ... 또한 이것은 filters와 수신기 input의 amplifiers, 그리고 detector에 의존한다. ... our technical competence and to undertake technologt FM Multiplex Generator and to the Channel 1 (X) input
    리포트 | 21페이지 | 3,500원 | 등록일 2013.12.19
  • 조직통제범위[span of control] 영어 PPT
    A stable environment that provides similar inputs to an organization over a long period of time should ... diversity of functions that individuals perform the diversity of occupations, and the diversity of inputs ... Three variables as crucial determinants of span-of-control relationships in organizations Diversification
    리포트 | 37페이지 | 1,500원 | 등록일 2012.06.02
  • 전기전자응용실험 Chapter 3 Analog-to-Digital Converters (ADC) Report
    Other variable voltage source is used to Vin(+), Vin(-), so we can get the results with various input
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.05
  • 현대실험계획법 연습문제 6.1
    쪽이 탈색력이 크다.A1A2A3B1C19510286C29811186C39911189B2C1909985C29610981C39711294(1) 분산분석을 행하라.Data Ex6_1; input ... FModel51446.888889289.37777830.17.0001Error12115.1111119.592593Corrected Total171562.000000* Dependent Variable ... FModel91523.888889169.32098835.54.0001Error838.1111114.763889Corrected Total71562.000000* Dependent Variable
    리포트 | 16페이지 | 1,000원 | 등록일 2014.03.25
  • 경영과학 연습문제 풀이 레포트(수원대학교 유인선 교수님)
    전나무 사용가능량)s.t x₁ + x₂ + 2x₃ ≤ 50 (절단공정 가용시간)s.t 2x₁ + 4x₂ + 2x₃ ≤ 80 (압축공정 가용시간)s.t x₁ , x₂ ≥ 0***** Input ... (Zj-Cj)값x₁ = 20s₁ column : - 20x₂ = 12s₂ column : - 10Nonbasic variable(Shadow price)Basic variables₁ ... 112.5 0.75 1 0 -0.25- 7.5 0.75 0 -0.5 0.255 0 1 0.5 0Primal SolutionDual SolutionBasic variableNonbasic Variable
    리포트 | 13페이지 | 1,000원 | 등록일 2015.07.02 | 수정일 2015.11.05
  • Motor Control
    There is a variable whose value is to be controlled (output) and a variable which represents the value ... of the output required (reference input r). ... The Reference input is set to Intthe steady value.
    리포트 | 14페이지 | 1,000원 | 등록일 2012.05.14
  • 전자측정실험 결과보고서
    VOLTS/DIV가 [1V]에 놓이면 화면에서 수직으로 1칸이 1V가 된다.(2) CH1입력단자에 입력선(input probe)을 연결한다. ... (c) 전압과 시간을 측정할 때 VOLTS/DIV, TIME/DIV의 미세조절(variable) 손잡이는 시계방향으로 끝까지 돌려 cal 위치에 두어야 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.01.23
  • 전기전자응용실험_1주차 결과 보고서
    The difference is that through adjusting the potentiometer, we can obtain the variable output voltage ... Through them, by changing the potentiometer(variable resistor), we can understand the way how control ... voltage can't exceeded to the constant value.In experiment 6.1.2, we can verify that by adjusting the variable
    리포트 | 7페이지 | 1,500원 | 등록일 2011.07.09
  • [4주차] Multiplex
    STD_LOGIC_VECTOR type의 2bit Array .s : in STD_LOGIC; -- Input variable. ... variable. ... STD_LOGIC type의 1bit value.o : out STD_LOGIC); -- Output variable.
    리포트 | 11페이지 | 2,000원 | 등록일 2012.06.30
  • 오실로스코프(Oscilloscope)
    만약 사용하고 있는 오실로스코프에 variable control이 있으면 반드시 calibrated position에 맞추어 놓아야 한다. ... 오실로스코프를 종종 전압계 대신으로 사용하기 때문에, 오실로스코프의 입력저항(input resistance), 그리고 만약 전압이 급격히 변하는 경우라면 입력커패시턴스(input capacitance ... 전원의 음단자를 test lead의 접지쪽에 연결하고, 양단자는 수평입력(horizontal unput)과 수직입력(vertical input)에 동시에 연결한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.03.23
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:56 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대