• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 201-220 / 788건

  • 영교론정리/pllt/tbp/빌드업정리/영어교육론
    반복- Meaningful drill : given information /repeat- Communicative drill: from the student real info- Variable ... Teaching ReadingTop down processingComprehensionWals:교사와 학생 간의 대화노트비평금지Generate some personal input and
    시험자료 | 38페이지 | 3,000원 | 등록일 2018.01.03 | 수정일 2020.05.08
  • 전전컴실험Ⅱ 06반 제05주 Lab#04 [Arithmetic Logic, Comparator] 결과 보고서
    두 개의 4bit input을 넣으면 bitwise로 두 값을 비교하고, if문에서 관계연산자를 통해 출력 값을 결정한다. ... ALWAYS, INITIAL 블록, TASK, FUNCTION 내부에는 사용이 불가능하다.(2) PROCEDUAL ASSIGNMENTS(가) procedural assignment는 variable ... subtractor를 만들고, 4bit짜리 2개를 이용한 8bit full adder & subtractor만들었다. bus와 breadboard 왼쪽의 i/o단자를 이용해 두 개의 8bit input
    리포트 | 18페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • VHDL을 이용한 디지털 시계 설계 할인자료
    오실레이터 clock을 분주하여 시계제작 process 내부를 살펴보면 , process ( reset, clk ) 는 Asynchronous reset을 뜻한다. count_clk 는 variable로 ... and output information < entity 내부의 input과 output > input으로는 rst_n과 clk가 있고, output으로는 DIGIT, SEG_A~ ... RS는 Data input 또는 instruction input을 결정하는 것이고, R/W는 data read 또는 data write를 결정하는 것이다. - LCD_EN은 LCD를
    리포트 | 20페이지 | 3,000원 (20%↓) 2400원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 설계제안서(5bit Integer Divider by 3 and the A through J)
    of divided 3 Q1~Q4Q1Q2Q3Q4K-map of divided 3 R1~R2R1R2Boolean equation for each output variable*Divided ... The 5-bit inputs is available from toggle switches. ... resultsABCDE=10001PTruth table of alphabetK-map of AlphabetabcdefgBoolean equation for each output variable
    리포트 | 20페이지 | 2,000원 | 등록일 2012.11.01
  • Audio Amplifier Circuit Design
    First, Audio amp circuit uses op-amp and variable resistor to control gain of circuit. ... Therefore, variable resistor connectred with 22nF capacitor in parallel is meaningless in high frequency ... causing signal feedback to input.?
    리포트 | 6페이지 | 1,500원 | 등록일 2012.11.27
  • 신장case
    I/O check 내용날짜11.01식사금식Total input1790cc (IV 1790cc) of bilateral pleural effusions.⇒ 폐렴이 악화되었고 흉막액의 ... Liver: Multiple variable sized heterogenous echogenic densities are scattered in entiier liver.⇒ 다양한 ... Kidney : Multiple variable sized heterogenous echogenic densities are scattered in left kidney non specific
    리포트 | 6페이지 | 2,000원 | 등록일 2017.03.07
  • 반전 증폭기 예비+결과레포트
    (오류에 대한 실험 일부를 대한 모의).● Dual-polarity variable dc power supply☞ 이중 극성 가변 직류 전원● Function generator☞ 함수발생기 ... Power up and measure the peak-to-peak input and output voltages. ... Connect a 10kOMEGA resistor as a load and increase the input signal to 5V _{PP}.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.10.24
  • VHDL을 이용한 순차회로 설계 할인자료
    your circuit works process 내부를 살펴보면 , process ( reset, clk ) 는 Asynchronous reset을 뜻한다. count_clk 는 variable로 ... input이고, reset은 shift 연산에 이용될 변수를 초기화 할 때 이용된다. dir과 mode는 shift의 방향과 종류를 결정하는 input이다. output으로는 q가 ... 각각의 mode case 내부에서 dir = 0 일 때와 그렇지 않을 때의 행동을 지정함으로써 방향설정도 가능케 하였다. 3) Provide specifications : input
    리포트 | 16페이지 | 2,000원 (20%↓) 1600원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 영어교육론 기말고사 정리
    :controls the language input the learners receive, providing the raw material for the cognitive map: ... official sanction.was officially proposed in a Euveloped and therefore must take account of contextual variables
    시험자료 | 15페이지 | 1,500원 | 등록일 2017.10.16
  • [기초미시경제론 공통] 1. 유가하락이 여러분의 경제활동에 미치는 영향 2. 단기비용곡선과 장기비용곡선이 각각 어떻게 도출되는지 설명 3. 완전경쟁시장에 비해 독점시장에서 왜 생산량은 적고 가격은 높은지 설명
    이에 대하여 조업의 율에 따라서 변하는 생산요소(예컨대 보통의 노동, 원료 등)을 가변요소(variable inputs)라고 하며, 주로 가변요소로 말미암은 비용을 가변비용(variavle ... 일한 생산요소를 고정요소(fixed inputs)라고 부르며 이로 말미암은 비용을 고정비용(fixed costs)이라고 한다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2015.04.08
  • 성균관대 진동및동적시스템설계실습 Basic tools for electronic measurement, system dynamics and time-frequency domain measurement
    Notice the analogy between corresponding parameters and variables. ... The decibel is defined as by followingdB=20log( {output} over {input} )The magnitude increases very rapidly ... Ri+ {q} over {C} =E(t)Unfortunately, this differential equations involves two time-dependent output variables
    리포트 | 19페이지 | 1,500원 | 등록일 2015.03.08
  • [시스템프로그래밍실습] fork() and Signal Processing
    ////////////////////////////* header files needed to use the sockets API */#include //for standard input ... 256 bytes */#define BUF_SIZE 256/* Run the client with arguments */int main(int argc, char **argv){/* variable ... client); //for display client info/* Run the server with arguments */int main(int argc, char **argv) {/* variable
    리포트 | 11페이지 | 2,500원 | 등록일 2015.06.19 | 수정일 2016.03.12
  • 데이터마이닝을 통한 신용카드해지고객 분석
    page=1 sword= stype= area=2Name Model-Role Measurement Variable-Label A001 input ordinal 성별 (1: 남 , 2 ... 변수설명 (Variable)변수 설명 출처 : http://www.freeaca.com/main/BoardDocList.aspx? ... 변수설명 (Variable) 3. 자료 연구 및 분석 ( Analysis ) 4. 결론 및 적용 (Conclusion) 1. 연구배경 (Introduction)1.
    리포트 | 46페이지 | 1,500원 | 등록일 2011.09.09
  • [경제학 강의] 제07장_공급곡선의_이면
    고정요소(fixed input)는 투입량이 고정되어 변경할 수 없는 요소이다. 가변요소(variable input)는 기업이 투입량을 변경할 수 있는 요소이다. ... 가변비용(variable cost)이란 생산량에 따라 달라지는 비용으로서 가변요소의 비용을 말한다. ... AFC = FC/Q 평균가변비용(Average variable cost: AVC)은 생산물 한 단위당 가변비용이다.
    리포트 | 26페이지 | 1,500원 | 등록일 2012.05.02
  • 영어교육론 PLLT ch.3 Age and Acquisition 발표자료
    Frequency in the input9. ... cognitive, affective, cultural, and contextual variables9. ... Issues In First Language Acquisition Revised Input - Teachers: As deliberate, but meaningful Discourse
    리포트 | 27페이지 | 2,000원 | 등록일 2013.10.14
  • 논리회로실험 설계 보고서
    그리고 변수 선언 구문(variable declaration)은 variable 키워드가 사용된다는 것을 제외하면 신호 선언과 동일하다. ... in_1 8bitin_2 : in std_logic_vector(7 downto 0); -- input in_2 8bitoutput : out std_logic_vector(15 ... IEEE.STD_LOGIC_UNSIGNED.ALL;--라이브러리 함수를 정의하였다.entity result isport ( in_1 : in std_logic_vector(7 downto 0); -- input
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • E-MOSFETs 예비+결과레포트
    specification sheet for the BS170 E-MOSFET ☞ BS 170 E-MOSFET에 대한 사양서를 공부한다.● Function generator ☞ 함수발생기● Variable ... Use the oscilloscope to measure the peak-to-peak values of the input and output waveforms. ... . ☞ 두 번째 실험에서, 간단한 MOSFET 증폭기를 구성하고 회로의 기본 DC 및 AC를 분석한다.MOSFETs have very high input impedances, typically
    리포트 | 7페이지 | 1,000원 | 등록일 2014.10.24
  • 9조 pre 6주 diode 심화
    회로동작에 활용하는 정전압 회로등에 사용됨(입력전압이 변화하여도 제너 다이오드 양단의 출력 전압은 일정함 단 입력 전압에 비례하여 전류는 변동함)배리스터 다이오드(Voltage Variable ... 그래서 ( Input V – 0.65V )이고Output V = 3.2835V가 나오게 된다. ... (reverse biased 일 때) 0.7의 전압강하 없이 diode가 short 되어서, D1 & D4 를 거쳐Input V 그대로 출력 되는 것을 알 수 있다.Constant
    리포트 | 8페이지 | 3,000원 | 등록일 2014.03.06
  • 공학영어
    either 1 or 0.4) Logic GatesGates are blocks of hardware that produce signals of binary 1 or 0 when input ... described by means of an algebraic function.다른 게이트는 뚜렷한 그래픽 심벌을 가지고 있고, 그 연산은 부울 함수에 의해 기술될 수 있다.The input-output ... and logic operations.The variables are designated by letters such as A, B, x and y.The three basic logic
    리포트 | 10페이지 | 1,500원 | 등록일 2013.03.30
  • 오실로스코프 작동법
    오실로스코프의 전원을 넣기 전에 GNP 상태로, 수평방향, 수직방행의 VARIABLE 다이얼을 CAL위치로 (시계방향으로 끝까지) 돌린다.2. ... 프로브(probe)를 10:1로 하고 CH-1의 INPUT단자에 연결하고 프로브의 끝을 CAL 0.5Vp-p (또는 1Vp-p) 출력단자에 연결한 후 Ac-gnd-dc스위치를 DC에
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.10
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:17 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기