• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(788)
  • 리포트(742)
  • 시험자료(29)
  • 논문(10)
  • 방송통신대(5)
  • 자기소개서(1)
  • 이력서(1)

"Input variable" 검색결과 181-200 / 788건

  • C로 쉽게 풀어쓴 자료구조 제4장 리스트
    ){List_N *new_node = (List_Node*)malloc(sizeof(List_Node)); // malloc을 이용한 공간 할당new_node->data = input_data ... struct List_N{int data;struct List_N* list;} List_Node;// 데이터 삽입하는 문List_N* Insert(List_Node *phead, int input_data ... ){List_N *new_node = (List_N*)malloc(sizeof(List_N));// 삽입될 데이터 : 공간 할당new_node->data = input_data;new_node
    리포트 | 8페이지 | 1,500원 | 등록일 2012.06.05
  • Lab#03 Verilog HDL
    구동자가 연결되지 않으면 high-impedance가 되며, 연속할당문이나, 게이트프리미티브 같은 구동자에의해 연속적으로 값이 유지가 된다.나) Variable 자료형reg, integer ... config deassign default defparam design disable edge else end endcase if ifnone incdir include inout input ... 정의문assign문function, task 호출문end module2) Verilog HDL Module다) 모듈의 구성모듈의 구성은 우측 그림과 같다.위의 문단에서는 포트를 정의하는단락인데, input
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • Sequential Logic DesignⅡFSM and Clocked Counter
    응용과제 - 4-bit up counter아래의 조건에 맞추어 Source를 작성해 본 후 실험결과를 확인해 본다.Input : Clock, Reset, Up, Load, Load ... 머신순차 논리부와 조합 논리부로 구성되는 동기식 순차회로.외부 입력과 시스템 클럭에 의해 State(상태)가 바뀌게 되고, State에 의존되어 출력값이 결정된다.상태변수(State Variable
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • 전자종합설계1 프로젝트보고서
    따라서 macro는 어느 input stream으로 패킷을 받을지를 고려하지 않게 된다. ... 다음으로 State Variable Block을 아래의 그림과 같이 설정한다.The variable max_packet_count는 시뮬레이션에서 처리될 수 있는 최대의 패킷 수를 ... Header Block에 아래와 같은 code를 적는다./* Input stream from generator module */#define IN_STRM 0/* Output stream
    리포트 | 26페이지 | 2,500원 | 등록일 2013.12.19
  • 통계적 방법에 사용되는 분포(2)
    3.통계적 방법에 사용되는 분포카이제곱분포(1)P(>7.219)※SPSS활용①좌측 하단의 variable View에서 변수이름을 input이라 입력하고 대입할 값을 입력한다.②Transform의 ... compute variable을 선택한다.③누적 확률을 구하기 위해 Cdf.chisq를 선택하고 quant에는 입력값을 df에는 자유도를 입력한다. ... Distributions에서 카이제곱을 구하기 위해 Chi-square를 선택한다.②누적 확률을 구하기 위해 Cumulative Probability를 선택하고 자유도는 9를, input
    리포트 | 30페이지 | 3,000원 | 등록일 2012.10.26
  • [논리회로실험] 실험7. shifter
    clk_d를 2000000번 count하는 동안은 'L'한 상태이고 다음 2000000번 동안은 'H'한 상태를 유지하게 만든다. clk를 count할 필요가 있으므로 그 때 사용할 variable인 ... 따라서 주기가 4000000배 길어졌다.② Describe how you solve우선 entity에 input과 output을 선언한다. input에는 Clock을 의미하는 clk를 ... 여기서 dir은 오른쪽 shift를 할지 왼쪽 shift를 할지 결정할 때 사용되는 input이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2014.03.22
  • [논리회로실험] 실험8. counter
    output을 선언한다. input에는 Clock을 의미하는 clk를 선언한다. ... clk_d를 4000000번 count하는 동안은 'L'한 상태이고 다음 4000000번 동안은 'H'한 상태를 유지하게 만든다. clk를 count할 필요가 있으므로 그 때 사용할 variable인 ... 상태모드다음 상태00000010010011010011001100101000000101010011001111110101② Describe how you solve우선 entity에 input
    리포트 | 17페이지 | 2,000원 | 등록일 2014.03.22
  • PLLT Sixth edition 정리본 (영어요약, 키워드 암기)
    (Chapter 6) Peer-pressure : It is a particularly important variable in considering child-adult comparisons ... language at any stage is systematic in that the child is constantly forming hypothesis in the basis of the input
    리포트 | 36페이지 | 10,000원 | 등록일 2016.11.16 | 수정일 2020.06.14
  • 오실로스코프의 사용법 예비보고서
    , TIME/DIV VARIABLE을 각각의 CAL위치로 걸릴 때까지 회전시킵니다. ... VERTICAL INPUT(수직 입력단자) :-음극선관 위에 나타나는 입력신호를 가함8. EXT TRIG IN :-외부동기/트리거 펄스를 입력9. ... INPUT COUPLING SWITCH(입력결합 스위치) :-수직 증폭기에 결합되는 형태 조절, AC/DC 결합은 교류성분의 파/직류 성분을 포함한 신호 측정하는데 사용, GND(접지
    리포트 | 5페이지 | 1,500원 | 등록일 2014.11.29
  • VBA를 사용하여 첨부파일(풋옵션, 콜옵션)을 프로그램
    Input variables Values EU Black-Scholes-MertonExercise price 100Volatility 30% Call Option 21.982 33.553dt
    리포트 | 5,000원 | 등록일 2013.08.23
  • PLLT[영어교수] 2장 First Language Acquisition(요약본)
    Parameters determine ways in which languages can vary.5. systematicity and variability? ... Imitation is consonant with behavioristic principles of language acquisition.8. inputThe role of input ... in this case are tremendously important, although it remains to be seen just how important parental input
    리포트 | 5페이지 | 1,000원 | 등록일 2008.10.10
  • PLLT Chapter 2 first language acquisition (upload)
    (Selectivity of parental linguistic input) • Adult and peer input to the child is important. • Adult ... (4) Universals (5) Systematicity and Variablity (6) Language and Thought (7) Imitation (8) Practice and ... the system of a L .Competence • Chomsky : “Idealized” speaker-hearer who does not display performance variables
    리포트 | 50페이지 | 3,000원 | 등록일 2013.04.08
  • 자동제어실험 예보 모터
    리셋 input & 홈 output?작동 공급 전압 : UP TO 46 V?TOTAL DC 전류 :UP TO 4A?LOW 포화 전압?과열 보호 기능? ... 위상에서 전류가 흐르는 것이고, 1-2상 여자방식은 1개 2개의 위상에서 전류가 교차되어 흐르는 것이다.Stepping motor는 그 구조상 세가지로 분류할 수 있다.(1) VR형(variable
    리포트 | 3페이지 | 1,000원 | 등록일 2015.05.04
  • 보간법
    a, r2] = linregr(x,y): Least squares fit of straight% line to data by solving the normal equations% input ... :% x = independent variable% y = dependent variable% output:% a = vector of slope, a(1), and intercept ... at which% interpolation is calculated% output:% yint = interpolated value of dependent variable% compute
    리포트 | 11페이지 | 2,000원 | 등록일 2016.02.07 | 수정일 2021.07.05
  • 데이터마이닝1(2장)
    그밖에도 여러 가지 Input들이 있고 Type으로는 Num과 Char 2종류로 나뉘는 것을 알수 있다.? ... Variables 탭에서 변수들의 역할(Model Role)과 측도(Measurement)를 부록과 같이 지정하여라 (변수들의 분포도 살펴보기 바란다).GOOD_BAD가 Target으로 ... Class Variables 탭에서 변수 GOOD_BAD의 Order가 Descending으로 지정되어 있음을 확인하여라(변수 GOOD_BAD는 good과 bad로 입력되어 있음).
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.08
  • 통신실험 예비 9
    What are the two most commonly used compression laws, what value of the characteristic variable is used ... Disconnect the cable from CLOCK INPUT of PCM Encoder.15. ... Remove the cable connecting the audio input of the PCM Encoder to the output of the DC voltmeter / DC
    리포트 | 6페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • awk
    Ex ) awk –f script.awk input.txt 2. ... AWK 사용법AWK program 실행 방법 Awk program 실행 방법 (1) Awk – f script_filename input_filename Awk ‘script’ input_filname ... Variable 에는 system variable 이 존재한다 .
    리포트 | 31페이지 | 3,000원 | 등록일 2012.04.26
  • Combination Logic Circuit Design
    for n input variables. ... encoder.The encoder createsinput variables and n output values but the decoder createsminimum terms ... It has four inputs, two control input and one output.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • 소비자의 효용극대화와 생산자의 이윤극대화에 대하여 논하시오
    이들 노동자가 공급하는 노동력은 가변요소(variable input), 즉 기업이 아무 때나 변동시킬 수 있는 요소이다.현실에서는 어떤 요소의 수량이 고정되어 있는지 여부는 주어진 ... 여기서 토지는 경제학자들이 말하는 고정요소(fixed input), 즉 수량이 고정되어 변경할 수 없는 요소이다.
    리포트 | 7페이지 | 5,500원 | 등록일 2016.12.08 | 수정일 2019.05.16
  • 오실로스코프 (oscilloscope)
    이떄 VOLT/DIV와 TIME/DIV의 미세조정기(VARIABLE)는 CAL의 상태로 놓는다. ... )과 수직입력(vertical input)에 동시에 연결한다. ... 선명하게 관측될 수 있도록 INREN과 FOUCS를 조정한다.음극선관의 휘선이 기울어져 있으면 TRACE RPTATION을 드라이버로 조정한다.Probe를 10:1로 하고 CH1의 INPUT단자에
    리포트 | 12페이지 | 1,500원 | 등록일 2015.10.24
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:09 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기